X



トップページ電気・電子
348コメント98KB
【Verilog】 記述言語で論理設計Project15 【VHDL】 [無断転載禁止]©2ch.net
0001774ワット発電中さん
垢版 |
2017/04/21(金) 21:48:16.41ID:KqIjhH63
HDLの処理系も、それを実際に動かすシミュレータ・評価基板も、
安価で入手できるようになってきました。

このスレが目に入ったお嬢さん! HDLで何か作って遊んでみませんか。
日曜工作のHDL書き、学生さん、プロの方、主婦の方、カキコお待ちしております。
関連情報は >2-10 あたり。

入れ食いキーワード
・Nios、MicroBraze
・Artix-7、Kintex-7、Virtex-7、ハードコアCPU内蔵 Zynq-7000

※前スレ
【Verilog】 記述言語で論理設計Project14 【VHDL】
http://rio2016.2ch.net/test/read.cgi/denki/1470611688/
0037774ワット発電中さん
垢版 |
2017/07/12(水) 17:06:36.17ID:wlKbi3Dl
ヴィヴァドゥ
つーかなんで平仮名の「う」の点々はないの?
0038774ワット発電中さん
垢版 |
2017/07/13(木) 03:49:36.90ID:ebjoa3nQ
>>37
そんなことより、Spartan6がVivadoで出来ナインだってね。
0039774ワット発電中さん
垢版 |
2017/07/21(金) 04:17:17.49ID:6mKHYWMT
そう、できないんだ。
なんでだろう。
もうSpartnシリーズはやめなのかな。
好きなんだけど
0040774ワット発電中さん
垢版 |
2017/07/21(金) 06:47:27.05ID:UbRmqVOX
S6はコストが安いから、多少の需要はあって案件もある
でも実際にはVivadoの方が案件が多いので全部Vivadoに移行して欲しいのが正直なところ
元請けより
0041774ワット発電中さん
垢版 |
2017/07/21(金) 07:15:48.17ID:fzzHpsaW
古いデバイスはISEでしかサポートしない。
ISEについてはもう更新しないと言ってる。OSがどんどん変わっていっても更新しないつもりなんかな。
手を施さずに放置して、Spartan6(を含む)以前のデバイスの自然死を待つみたいな感じだ。
0042774ワット発電中さん
垢版 |
2017/07/21(金) 13:18:06.71ID:4heuaL7h
新しいOSに対応しないのは、あんまり大した問題じゃないと思うよ
VMwareとかVirtualBox使ってもいいし、XPとか7入れたPC置いとけばいい
もっとも、ISE14.7はWindows10でも動いてるけど
0043774ワット発電中さん
垢版 |
2017/07/21(金) 22:24:11.51ID:fzzHpsaW
ちょっと前までは、ISEが正式に対応OSとしていない新しいOSで、使おうとして叩かれる人もいたのに。
もう7も入手できなくなるし、10にこの前のCreators Updateみたいな大きいアップデートが定期的に入るようになったら、やがて使えなくなりそう。
パソコン体験も含めて新しくこの世界に入ってくる人がXilinxの旧デバイスを使えなくなるのもそうそう先ではなかろうね。
0044774ワット発電中さん
垢版 |
2017/07/22(土) 03:02:09.35ID:tAdg9egc
>>43
将来のことは別にして、今のところWindows10でISE14.7動いてるよね?
そして「新しくこの世界に入ってくる人」が「旧デバイスを使える」って
ことが、そんなに重要なことなのかは疑問だな

あなたも「この世界に入った時」は、「旧デバイスを使う」ことじゃなくて
「最新のデバイスを使う」ことに魅力を感じてたと思うんだけど

どうしても旧デバイス使うために、古いOS使いたいって考える人は
(合法かどうかは別にして)色々方法あるわけで、そんなの若い人は
当然承知だろうから、あなたが心配することじゃないかも
0046774ワット発電中さん
垢版 |
2017/07/22(土) 12:33:12.12ID:VkaYZata
そうそう、パッケージがイヤだ。
QFPも入れておかないと、仕事で使えない。(コストが高くなる)
世の中の仕事で、BGAチップでなくて、QFPで済む仕事が半分以上なのに。
0047774ワット発電中さん
垢版 |
2017/07/22(土) 13:49:38.00ID:vBnj6Fxn
>あなたも「この世界に入った時」は、「旧デバイスを使う」ことじゃなくて
>「最新のデバイスを使う」ことに魅力を感じてたと思うんだけど
そうでもなかったな…
0048774ワット発電中さん
垢版 |
2017/07/22(土) 13:56:09.78ID:tAdg9egc
まあ、それは分かるし自分でも過去プロジェクトのメンテ用として
VirtualBox にXPとISE7とか10を入れてあるけど
開発してた当時の環境よりも逆に快適だったりする
0049774ワット発電中さん
垢版 |
2017/07/22(土) 14:07:49.29ID:vBnj6Fxn
>>45-46
俺もそんな感じ。
Xilinxはそういう客層との関わりから離れようとしてるんじゃないかな。
集中と選択、だろね。
0050774ワット発電中さん
垢版 |
2017/07/22(土) 17:09:37.93ID:XglvVRxM
>>47
最新のデバイスのultrascale使ってるやつとかいるのか疑問。

そろそろintelに移行するかな。
0052774ワット発電中さん
垢版 |
2017/07/29(土) 18:40:49.84ID:XstsHznG
LED : out std_logic_vector(17 downto 10, 7 downto 0);
みたいな定義をしたいんだけど、何かうまい方法ある?
0054774ワット発電中さん
垢版 |
2017/08/02(水) 18:01:13.17ID:fssG1CYf
>>52
基本的にはできない。
意図によってそれに変わる手段はあるかもしれない。

ほかの言語でも↓見たいな配列ってできないものがほとんどでしょ。
int a[17..10,7..0];
ただ、これも目的によってそれに変わる手段があったりもする。
0055774ワット発電中さん
垢版 |
2017/08/02(水) 20:09:34.56ID:gu7g6lts
歯抜けの配列作って何か便利なの?
255の次は1024になるかと思ってもそうはならないし。
0057774ワット発電中さん
垢版 |
2017/08/03(木) 09:14:34.59ID:WhLG6fxr
使わないビットは繋がなきゃいいだけだと思うのだが、
あえて、定義をしないってのがな。
0059774ワット発電中さん
垢版 |
2017/08/07(月) 19:10:58.72ID:q8k3v3br
合成レポートに警告出るのがいやなのでは?
レジスタとかを実装するときにword単位でreg定義するとそんな感じにできるといいなあと思うことがある。
0060774ワット発電中さん
垢版 |
2017/09/13(水) 19:26:48.33ID:6sGSZlbl
8bitのデータ幅じゃないROMやRAMのアドレス出すとき最下位をA0じゃなく、
A1やA2にしたいときはあるな。
ROM側のデータシートもA0以外から始まってることも多いので、
Asic側の信号名と整合取りたくなる。
そういう場合は普通に最上位階層から使わないA0等も出力しちゃって、
合成のときに使わない信号をVirtualPin指定してる。
0061774ワット発電中さん
垢版 |
2017/09/15(金) 14:59:12.36ID:slx9nfd5
A0も使っておかないとデバッグの時x2してとかになると煩わしい。こともある。
0063774ワット発電中さん
垢版 |
2017/10/26(木) 23:56:59.35ID:Yf7KEgau
最近ちょっとしたプロジェクトをSystemVerilogに書き換えてるんだけど、
interface機能いいわ。ポートまわりめっちゃスッキリする。
あとenumもModelSimが値を名前で表示してくれるからデバッグ捗る。
0064774ワット発電中さん
垢版 |
2017/12/09(土) 02:51:17.52ID:CpO3hyNQ
学校で教えてるのは、Verilogが多いと思う。
0065774ワット発電中さん
垢版 |
2017/12/27(水) 13:03:13.15ID:22mySsTl
家で不労所得的に稼げる方法など
参考までに、
⇒ 『武藤のムロイエウレ』 というHPで見ることができるらしいです。

グーグル検索⇒『武藤のムロイエウレ』"

K9OCPSVO8P
0066774ワット発電中さん
垢版 |
2018/03/13(火) 12:34:18.85ID:90f9nJid
東芝情報システム が 車載半導体技術者を募集しています なう
0067774ワット発電中さん
垢版 |
2018/03/14(水) 10:37:10.81ID:XfddRtpO
そういえば南武線の沿線にトヨタがしこたま求人広告出してたって話があったな
0068774ワット発電中さん
垢版 |
2018/03/15(木) 15:20:46.27ID:kI6BPI83
労働生産性の低い業種は人いかんやろ。
0069774ワット発電中さん
垢版 |
2018/03/26(月) 15:47:50.84ID:zDhlItNO
定石の記述テクニック的なことを紹介している書籍ってあまりないよねぇ
たとえば、VHDLで条件コンパイルってできないよなぁ
generateとかは使えるところに制約あるし
無理やりC用のプリプロセッサを通して使ってたこともある
型変換のリファレンスとか諸々まとまった書籍ないかな
0073774ワット発電中さん
垢版 |
2018/03/29(木) 21:23:27.50ID:u96GUgDf
テーブル作ろうと思って reg & initial で書いたら
initial は合成しないからねっ!て警告された。
ISE は出来たのに。dc のバカっ。
0075774ワット発電中さん
垢版 |
2018/03/29(木) 22:49:42.83ID:hOKwfj7J
design compiler(Synopsys)。

結局のところ、FPGAの回路設計とASICの回路設計は似て非なるもの、
と考えるのが良いんじゃないかな
0076774ワット発電中さん
垢版 |
2018/03/30(金) 00:11:21.22ID:kCXoPplu
結局、wire にして generate で書いたけど。
FPGA は ROM を使ってくるからテーブル使いやすいけど
ASIC だと BIST の問題もあるし、ROM は使いにくいからね。
ゲートに落とすと 1clock は厳しい。
0077774ワット発電中さん
垢版 |
2018/04/02(月) 00:15:31.58ID:JwQQEqY4
>>73
しないよ

リセット線が無いとどうしていいかわからないし

initial分かかれたものをどう半導体レイアウトにするんだ
0078774ワット発電中さん
垢版 |
2018/04/02(月) 07:43:39.94ID:tVqw9/vs
そもそも、論理合成する時点でinitial使っている時点でおかしいと思わないのか
FPGAですら
0080774ワット発電中さん
垢版 |
2018/04/02(月) 15:48:42.89ID:UH6nVK+8
周りの人に聞くことなく、ひとり独学でやっていると
時々ヘンなことをやってしまう場合があるね、Lonely合成なだけに・・・
0081774ワット発電中さん
垢版 |
2018/04/02(月) 19:05:49.56ID:5ENxwXa6
なかなか身の回りに詳しいのが居ないんよ
0083774ワット発電中さん
垢版 |
2018/04/02(月) 20:45:08.36ID:4QsISNxU
>>82
FPGAはリセット線無くても初期値決まるよね
ASICは通常初期値決まらないよね
そのデザインコンパイラーに、ROMを合成できるセルの部品はあるのかな。
通常フラッシュIPとか専用のを使ってFPGAとは比べものにならないくらい面倒になるんだけど
なんでinitialで合成できるのか教えてもらえますか?
0084774ワット発電中さん
垢版 |
2018/04/02(月) 20:49:29.86ID:4QsISNxU
わたくし勉強不足で独学でFPGAとASICを身につけたんでよくわからないんです。
あと派遣期間が長く転職回数も多いのですがどこか年収600万円以上もらえる仕事があったら教えてもらえますか?
0087774ワット発電中さん
垢版 |
2018/04/04(水) 00:15:43.43ID:fYJ4U2VL
年収低いけど
不正脈出るくらいの職場よりましかな?
でも、前の職場の方が楽しかったかもしれない。
0088774ワット発電中さん
垢版 |
2018/04/04(水) 06:38:59.94ID:WmskONQo
もう、技術以外で何を売るかを考えた方がいいよ。
0090774ワット発電中さん
垢版 |
2018/04/04(水) 06:41:36.28ID:Pkb8ccnt
臓器
0091774ワット発電中さん
垢版 |
2018/04/04(水) 07:09:01.76ID:WmskONQo
犯罪はだめだぉ。
0092774ワット発電中さん
垢版 |
2018/04/04(水) 07:42:19.68ID:TXXd8oqg
ぼきゅVHDLとう゛ぇりろぐを、IP化してうるわ
おまえら1デバイスあたり千円払うなら使わせてやるで
0093774ワット発電中さん
垢版 |
2018/04/04(水) 08:48:33.67ID:bZ8C2n/b
>>87
>不正脈出るくらいの職場

組織ぐるみで不正を行っているってこと?
0094774ワット発電中さん
垢版 |
2018/04/04(水) 10:21:21.76ID:zwEq6WSM
単純に火の車なんですよ
0098774ワット発電中さん
垢版 |
2018/04/08(日) 10:56:54.84ID:rCCRb1ol
ROMにするか組合せゲートにするかの境目は何?
0099774ワット発電中さん
垢版 |
2018/04/08(日) 11:46:23.68ID:XHtpeZ/Y
>>98
設計者の好み(というか得意不得意という面)が一番大きいんじゃない?

DRAMの制御回路(マルチポート化ロジック)なんかでも
バッファをSRAMにするかDFF(シフトレジスタ)にするか、
俺の周りでは設計する人によって2つの派に割れる
0100774ワット発電中さん
垢版 |
2018/04/08(日) 12:07:47.36ID:vxzksf4t
サイズと速度だな
16Byte程度以下ならゲート、それを超えるとROM/RAMを候補に
0101774ワット発電中さん
垢版 |
2018/04/08(日) 12:59:41.69ID:1Z9UvkuV
ROM/RAM 使ったら BIST が面倒くさいだろ。
仮レイアウト前にサイズも決めないといけないし。
0104774ワット発電中さん
垢版 |
2018/05/21(月) 02:01:24.37ID:N6M41IHY
質問お願いします。
XILINXのARTIX7を使ってみようと考えていますが、使う前にデータシートを読んでいます。
ピンの使い方は何となく分かるのですが、ROMから書き込みがいまいちわかりません。
INIT_B と PROGRAM_B は、何か違うのでしょうか?
使い方がイメージできずに困っています。
マイコンで言うMCLRピンは、どれになるのでしょうか?
0106774ワット発電中さん
垢版 |
2018/05/21(月) 09:46:27.70ID:4TnD0RWb
>>104
データシートより7 Series FPGAs Configuration User Guide読め
古いバージョンなら日本語版もある
0107774ワット発電中さん
垢版 |
2018/05/21(月) 09:56:56.97ID:+YPLsCwK
ユニークで個性的な確実稼げるガイダンス
暇な人は見てみるといいかもしれません
グーグルで検索するといいかも『ネットで稼ぐ方法 モニアレフヌノ』

TCKKJ
0108774ワット発電中さん
垢版 |
2018/05/21(月) 10:08:25.31ID:ZNp/W5B3
>>106
ありがとうございます。
コンフィグだけで取説があるんですか?
本体データーシートも入れると、皆さん1000ページ以上も読んでいるんですか?
_bの意味がやっとわかりました。反転論理の意味ですね。
n○○のほうがわかりやすい。
0109774ワット発電中さん
垢版 |
2018/05/21(月) 11:43:27.59ID:x0GPd2lL
FPGAで何がしたいのかわからんけど、まずは評価ボードを買って動かしてみる方がいいんじゃね?
0112774ワット発電中さん
垢版 |
2018/05/22(火) 19:39:03.72ID:BVOLgdXo
データシート、アプノートは
さらーっと必要なところ読むんよ
日本語版は時々古くて嘘書いてあるから
重要なところは最新英語版を当たること
0113774ワット発電中さん
垢版 |
2018/05/22(火) 19:47:58.18ID:8N9VW2rQ
上司に聞いて上司が出世しそうか考える。
0114774ワット発電中さん
垢版 |
2018/05/22(火) 23:16:17.90ID:wMRVGYZY
FPGA使った回路設計するなら、データシート・アプリケーションノート読むのも
大事だけど、評価ボードの回路図参考にするのは必須
あと、コンフィグ関係のピンをユーザーI/Oピンと共用するようなことは
事前に試して確認できないかぎりやらないこと
0115774ワット発電中さん
垢版 |
2018/05/23(水) 10:34:03.17ID:VDeJSuEE
>>114
評価ボードの回路図、参考はいいけど鵜呑みはイカン
内容理解して確認した上で設計しないと
市販品にもバグはある
0116774ワット発電中さん
垢版 |
2018/05/27(日) 07:31:00.41ID:TghQUmJ6
FPGA評価ボードで魔方陣の全解計算は出来ますか?
0117774ワット発電中さん
垢版 |
2018/05/27(日) 10:00:26.24ID:PdBhvnQO
>>116
サイズとか時間制約とか分からんけど
チューリング完全なら出来るんだろ、きっと。
0118774ワット発電中さん
垢版 |
2018/05/27(日) 10:07:32.60ID:7+Hv/v9Q
FPGAにCPU載せられるんだから、できるかできないかで言えば、できる。
0121774ワット発電中さん
垢版 |
2018/05/27(日) 19:18:21.30ID:X/cUURup
多分、5x5より大きな魔方陣の解の厳密な数は求まってない。
本質的に異なる解の数は、
5x5の時に275,305,224個。
6x6だと2*10^19ぐらいと見積もられている。
このサイズは時間的に無理だね。
0122774ワット発電中さん
垢版 |
2018/05/27(日) 19:26:01.24ID:S4YcNPa7
>>121
たぶんそれはCPUでALU1個での見積もりですよね。
ALU100個で一斉チェックしたら加速度的に早くならないかな。
0123774ワット発電中さん
垢版 |
2018/05/27(日) 19:33:16.96ID:a8DRxYzv
>121 の説明が正しいとすれば
 2*10^19 -> 2*10^17、になるだけじゃないの?
誤差のうちだな
0124774ワット発電中さん
垢版 |
2018/05/27(日) 20:13:08.55ID:X/cUURup
123 の言うとおり。

勘違いしないように言っとくと、チェックするもの全てが解になるわけじゃない。
5x5の場合、解数は2億個程度だけど、しらみつぶしに調べたら25!(=約1.5*10^25)個をチェックするはめになる。
スパコン京は、ALUが70万個ぐらいあるけど、このままじゃ全く歯が立たない。
まして100ALUなんてゴミ。

やってみりゃわかるけど、5x5だってかなり努力しないと数えられないよ。
0125774ワット発電中さん
垢版 |
2018/05/27(日) 22:43:28.77ID:xgXiLOis
話をすり替える人は黙って下さい。
0126774ワット発電中さん
垢版 |
2018/05/28(月) 23:39:15.90ID:VVCU05lv
>>116
>FPGA評価ボードで魔方陣の全解計算は出来ますか?

出来るといくらくれるの?
0127774ワット発電中さん
垢版 |
2018/05/29(火) 10:41:01.97ID:aGho2COM
アルゴリズムを固めるのが先
PC上のソフトでそれ組めないやつがFPGA上で実装できるとは思えない
0128774ワット発電中さん
垢版 |
2018/05/29(火) 11:20:26.12ID:Riarl8cu
CPU上のソフトをFPGAでハード実装すると速くなると幻想してる勘違いさんへ。
アプリにもよるが、単純に速度比較するとほとんどの場合FPGA実装はPC上のソフトより遅い。
ハード固有の最適化などを駆使してやっと、速くなることがあるようなもの。
CPUはコア数多いし、圧倒的にクロックが速い。
0129774ワット発電中さん
垢版 |
2018/05/29(火) 11:24:52.41ID:Riarl8cu
CPUは→最近のCPUは

fpgaで一桁以上速くできるのはかなりレア。
0131774ワット発電中さん
垢版 |
2018/05/29(火) 14:23:57.18ID:OxcIqcd8
まっとうな事いってるな
FPGAの速度は、昨今のCPUに比べて おおよそ 1/10
実際やてみれば直ぐわかることだが、意味のある回路を動かそうとしたら 400MHz 程度でもかなり厳しい

速度は1/10だが、例えば 並列で動かせる演算器は 100倍
結果、うまく使えば、10 倍速くできる
そんな風な使い方しないとメリット出せない

ようは、並列度を上げられないような事象以外へ適応しても 効果は期待できない
残念ながら、自然界ではそういう事が多いからな
0133774ワット発電中さん
垢版 |
2018/05/29(火) 19:35:56.92ID:K7oruib4
やって見せるのが先だろ。派遣のクソが
0135774ワット発電中さん
垢版 |
2018/06/15(金) 07:54:09.70ID:ElaqikfY
何で、リクナビで「QuEST Global Services Pte. Ltd.」という派遣会社を通じて、ソニー半導体で働く人を募集しているの?
0136774ワット発電中さん
垢版 |
2018/06/15(金) 08:00:52.13ID:ElaqikfY
>>135
著しい中小企業レベルの待遇で、最高収益を上げたソニー半導体企業で奴隷のように働かせる差別的待遇を、同一労働同一賃金の観点から説明をソニー社員の方から文書で頂かないと働きません。他をあたってください
0137774ワット発電中さん
垢版 |
2018/06/15(金) 08:11:50.40ID:ElaqikfY
>>136
朝から5chで、オレ様を正社員で採用せず、派遣で格安の給与で採用しようとするソニーという企業に一人労働闘争を5chでするだけの簡単なお仕事。
レスを投稿する


ニューススポーツなんでも実況