【FPGA/CPLD】 XILINX/ALTERA/Lattice/Actel #27
■ このスレッドは過去ログ倉庫に格納されています
画像はチャタリングを除去したボタン押下信号でカウンタを進めてROMのデータを順番に読み出す回路です。
チャタリング除去は、クロック信号で19bitカウンタをカウントしてそれが0になったときだけボタンの信号を取得して出力する回路でやっています。
これに制約の設定をしてみようと思ってクロックやI/Oの制約を設定したのですが、チャタリング除去後の信号をカウンタのCLKピンに入力する部分の配線で未制約になるのですがどうすれば制約できますか?
https://i.imgur.com/ow4VLr1.png ロジックの出力をクロックにするのはNG
製品だと品証から出荷ストップされるレベル
0→1の変化を取得してカウントアップする作りにしましょう >>434
create_generated_clock
後はご自分でお調べ下さい。 ボタンを押している間だけカウンタがenableになってクロック信号でどんどんカウントされていく回路を作ってSignal Tapで波形を見たいのですが、自分が見たいのはボタンを押した瞬間のものなのですが、負理論で取得されるボタン信号のインバースに立ち上がりトリガを設定してるのにデータ取得を実行するとボタンを押してない間に取得がすべて完了してしまいます。
どうすればボタンを押したときから取得できますか?
https://i.imgur.com/C86Zx2F.png >>441
>>442は気にしなくてもいいぞ
お疲れ様 住友FPGAの案件が永遠載っているが、あれは応募しなくてOK 派遣に頼らず、自社の「優秀な人間」で開発し利益出していればいいだろうよ そういえば、日立ICS 派遣者の前で「優秀な人が来ないから正社員を取る」とか言ってたな。
俺が座らされた席の正社員はソニーセミコンダクターに派遣に行っていたようだが。 >>451
言っとくが、おまえもその「國民」の一人。
例外でなく搾取されまくりだから。お前も。 産総研と東大、AI機能付きDASチップの開発加速
〜中略〜
2つ目は、「AI機能を回路に実装するための基盤研究」である。
AI処理に向けたFPGAアーキテクチャ探索やAI処理に特化したFPGA開発アセットを構築する。
また、医療や工業システムにおける、AIアクセラレーターを活用した画像解析応用など、AI機能を実装するための研究開発を行う。
https://eetimes.jp/ee/spv/1909/09/news019.html 東芝、ミリ秒オーダーで大規模な「組み合わせ最適化問題」を計算する技術を2019年中に適用実験 金融分野などに期待
ITmedia NEWS2019年9月13日 16:51
東芝は9月11日、組み合わせ最適化問題を大規模かつ高速に解ける「シミュレーテッド分岐アルゴリズム」(SB)を、FPGAなどの専用回路に実装する設計技術を発表した。
同技術でSBを実装したFPGAでは、入力から出力を返すまでにかかる時間は1ミリ秒未満だという。
実用的な問題を解く実証実験を行い、今年中の成果発表を目指すとしている。
https://www.excite.co.jp/news/article/Itmedia_news_20190913113/ 企業の研究は魅力的だなー
もう日本の大学のFPGA関係の研究室はなくなっていい 院卒にまでFPGAで何か組んだ経験ありますかって求める企業様だぞ。
当然玩具じゃなくて就職に向けての課題だぞ。勘違いしないほうがいい。 ただ、そっちの方向性で採用された場合、馬車馬のようにRTL書いて終わる人生なんだよな。
どっちがいいんだか・・・。 >>458
もっと効率的にがっぽり働きたいなら、院からエクセルとパワポでマネジメントすればいい。
10人ぐらいのマネジメントをこのように行いました遅れはこの程度で無事期限内に作業を終わらせられました、
って説明出来たら都内の大学から都内大手に行けるんじゃないの?
ただ、技術者のマネージャーとしてひたすら外注やら協力会社相手にエクセル弄る羽目になるけどね。
給料はいいんじゃない? あとは結婚と過労を含め体力だけ気にしてればいいよ。 >>459
普通に、もともと大手電機6社のどこかに新卒で入った人間なので、
若干認識が違うなーっと思ってしまった。
新卒にそういうスキル求めてないよ。
俺も数回リクルーターやったけど。
ただ、ご記憶にある通り、半導体事業に従事していたので
もう本体からはExitしてる。
そうなると、給料も微妙にシュリンクするんだよね。
あと、大手電機って、そもそもそんなに給料イイんだっけ?って思う。
家賃が高い地域にあることもあって、言うほどブイブイ言えないよ。
よっぽどデンソーあたりに入社して、地方に居て高給もらったほうが
随分と贅沢できるのではないかと思う。 ごめん。話がおもいっきりずれたwww
RTL人生対、マネジメント人生の話だったね。
マネジメント要員は、スキルだけでは選ばれないような人選だよ。
なので、ちょっと認識ずれてるのは確か。 今は大手電機7社っていうのか。あとラインアップも少し変わったな。
日立製作所
三菱電機
パナソニック
ソニー
東芝
シャープ
NEC
富士通 自分が学生の頃は大手電機6社って、NEC・富士通・東芝・沖・日立・三菱だったような気がする。 大手電機メーカーは三社だよ
日立
東芝
三菱
これだけ
電気機械製造業ね ルネサスリストラされて、FPGAの仕事すらもらえなく、電気会社の宣伝とは情けない >>471
電機と電気
半導体業界と電機業界のかかわり
について知識が足りてないな。
まずは組織の成り立ちから勉強しなさい。赤点。 知ってるなら教えてやらいいのに
まぁ、中途半端な知識をひけらかしてほかの奴に突っ込まれるリスクを考えたら
自分では説明しないで偉ぶった方が頭がいいか >>473
常に上から目線で優位に立つことしか考えないからどうやら図星らしい
パワポばかりで、請負とか派遣にやらせてたから、HDLは書けないので当然FPGAの話は出来ないからw >>474
>パワポばかり
どっちもどっちだな。
仕事って上流に食い込まないとガッポリ稼げないようになっているけど、
実際の実設計もできないと舐められるってのも事実だからな。
自分みたく、
・フルマニュアルベースのデジアナ混載チップ
・ハードマクロ・ソフトマクロリリース
・プロマネも少し
って広く浅くやってきた人なんて少数派かもしれんね。
勝手にルネ社員にされて、リストラ済みとか言われたけど、
やっぱあれかね?ルネはASSPやマイコンが強いから名が通るのかね?
他にも旧大手電機の流れをくむベンダーはたくさんあるけど、
そういうのは疑わないの? >>469
日経の基準なんかアテになるかよ
電球から原子炉まで、これが出来るのが
日立、東芝、三菱だけ
まあ原子炉は問題多過ぎて撤退したようだが
火力発電や水力発電所の大型発電機、重工業用の特殊モーターや制御装置までとしときましょうか
もちろん家電もコンピュータもね
要するに重電出来るか否かが判定基準
出来るところは総合電機メーカーとも言う
ソニーやパナは弱電家電なので論外
NECはNTTの御用聞きの電話交換機メーカーがパソコンで育っただけで論外でリストラしまくりでオワコン
富士通は通信機器からのコンピュータ関連で論外
シャープに至っては電卓屋出身で論外
工業用の機械を製造し大々的に販売してない会社が電機を名乗れるかよ
電気器具製造業ならまだしも
電気機械器具製造業の従業員1万人以上の大会社で検証してみ >>475
>広く浅く
別の言い方をすると
どれもこれもかじっただけでプロではない
最も求められない状況
現在稼げるのは一つか二つのジャンルを世界最先端のレベルでディープに追求し、規格制定に携われるレベルの人だけだな
そのレベルでもリーマンなら2000万手取りが最高レベルだが、日本では
日本以外なら倍貰える
中小企業の下請けとかなら、広く浅くが向いてるかもね
だって人手不足で一人で5役とかなんでしょう?
中小企業の役員クラスなら手取り800とかですかね
知らんけど >477
おまえの目節穴だな。
広く浅くは厳密にはプロじゃないけど、日本の社会ではそういう人がゼネラリストになってゆく。
逆に素人目に技術面でのみのプロは、労働集約型の労働環境で搾取されるのみ。(こと規模は問わず、派遣や中途はこの手)
なので、割と大手に居ると、「なんでこんなプロがこんな薄給で働いてるんだ」「プロって何のために居るの?」ってなるんだよ。
そんなことも知らない段階で厨房レベル確定。 不合格。 あと俺の目には>>476はちょっと論点がずれてるように思う。
大手電機6社(現在は8社か)の定義は、世間一般が決めることだから、
結局のところ。絶対基準がないので、世間で注目されている業績の企業って括りでピックアップされるから、
日経なんかが取り上げる数社が大手電機の定義でいいと思うよ。 >>478
ふーん
別に他人の給料や状況はどうでもいいや
自分の手取りだけが本当の問題なので
業界の知識とか必要ないなー
ゼネラリスト?
それで稼げるならご自由にどうぞどうぞ
稼げなくて愚痴こぼすなら嫁にでも言えば? ゼネラリストってなにか知らないんだなwww
会社の社長さんとか最低でも部長職以上のことだよ。
そういう人の給与のほうが一般社員より稼いでるのは自明だよねぇ?w で、あんたの言うような、業界標準を策定するような要員は、
せいぜいで主任で人生終了。
課長にもなれないでリーマン人生終了。
そういう理不尽な世界なんだって、日本は。 >>479
世間が決める、ってのは間違いだな
今じゃどうか知らんが、
ベースアップやボーナス額の発表や基準は、最大手の日立が決める
それに並ぶか一段落とすかは東芝三菱が決める
その他は三社の動向を見て一段か二段落とす
これが伝統的な流れ
世間が認識してるのはここまで
今じゃソニーパナの方が稼ぎがいいかもね
兆円単位で原発の損きりしたから東芝とかボロボロだし
大手電機メーカーってのが理系就職人気ランキング上位って意味ならソニーパナは入っていいいよ
ソニー銀行とかソニー損保がどうなるか知らんがな
厳密には旧通産省が決めたことなので、日経とか世間とかが決めることじゃないね
日本の経済活動上優位に立てるようにあえて3社に集約したわけ
自動車製造会社の数が制約されてるのと同じ理由だね >>481
>そういう人の給与のほうが一般社員より稼いでるのは自明だよねぇ?w
雇われ社長よりも給与が多い人はいっぱいいるがな
そんな簡単なこともわからないの?
給与が低い一般社員にはお知らせすると軋轢を生むかもね
ごく最近では日本でも一部の会社がスペシャリストに高報酬を出してると報道され始めたが、昔からだよ >>482
日本って落ちぶれたな
ほんとにそう思うわ
なぜそんなボロにしがみついてるのか不思議
誰もかれもが西海岸に行きたくてしょうがない、行ったらどうするって話ばっかの雰囲気あったのにね
まあ、行けなかった落ちこぼれが騒いでるだけか おまえ、本当に中二病だな・・・。
「どこが?」と思うなら、そのお前の書いた文章をお前がよく知る人に見せてどう思うか問うてごらん。同じこと指摘されるから。
>厳密には旧通産省が決めたことなので、日経とか世間とかが決めることじゃないね
>日本の経済活動上優位に立てるようにあえて3社に集約したわけ
>自動車製造会社の数が制約されてるのと同じ理由だね
大手電機6社時代の通産省による編成と補助金交付の話を、今の時代に同じように大手電機8社に適用して語るのはあほだろ。 >>473
>知ってるなら教えてやらいいのに
>
>まぁ、中途半端な知識をひけらかしてほかの奴に突っ込まれるリスクを考えたら
>自分では説明しないで偉ぶった方が頭がいいか
純日本的思考の方はそうだろう、リスク管理も出来ないと自白
で?
頭がどうこうって同調圧力かけて正論をブッコワス?
美しい日本がこれ >>486
自分も正直言って分からなくなってきてる。
自分はゼネラル候補だったけど、技術者志望だったので、
我を貫いたら、なんだか微妙な立ち位置になっていた。。。。
普通に設計や管理の意味で仕事に困ることはないが、これといった「顔」がない。
ただ、食べるに困らない給与を貰い、そこそこ楽しい職場に恵まれたことだけを
満足の材料にするんだろうなって思ってるよ。
自分とは別に本当に社長になりそうな勢いで上り詰めてるサルがいるんだが、
そのサルはデザインハウスにサインオフ条件の指示を誤り、
某品種で大損害を出した。DRの場では非難の荒らしだが、
役員に守られて、お咎め一切なし。
もうやってられません。 >>487
6や8とか持ち出してる時点で
もう無理
バカの壁 「バカの壁」とか借り物の言葉で罵倒する稚拙さが命取り。
自分の言葉では正しいことが何一つ主張できない人なんでしょうね。 >>489
結局は会社員なら、会社にもたらす利益の総額
大失敗大損失でもトータルで大利益なら昇進
失敗ゼロでもトータルで小利益なら昇進はない
もしもトータルで大損失奴をかばってるならその会社は潰れるから転職おすすめ >>491
それがまさにバカの壁
説得するだけ無駄
だから、わかる人だけレスください
わからない、わからないといくら主張されても説明義務はないので >>492
本当に中二だなwww
世間は結局好き嫌いのレベルの官能評価だよwww
それ以上は考えてないwww
じゃないとあのサルの失敗はリカバリーできる金額じゃないんだwww
少なくとも俺の勤務先では。あんたの勤務先だと>492のような事なんだろうと思うけど。でもそれって本当ならかなり恵まれているように思えるな。(笑) >>494
その会社マジでヤバイよ
某東芝の子会社で吸収合併の成り上がりで直下の子会社になったのがあって、
そこの統括責任者が古い飲み友達だったけど、
今日ン億円の赤伝切ったわ、ガッハッハ的なのを趣味の集まりの笑い話で持ち出して来て、コイツこんなにヤバかったかな?一人のエンジニアとしてはアベレージを超えてたとは思ったが
ってのを最後に本社ごとめっちゃくちゃになった
金勘定もマネージメントも出来ないエンジニアに管理させる程の人材不足になったら、逃げ時だよ
退職金満額貰えるうちにさ
家のローンとかどうなったんだろうか、音沙汰ないけど
んで、そのサルはさ単に気分次第で上に気に入られて、馬車馬してる肉体労働バカなんだろ?
手綱を持つ軍師がいなきゃ、暴走しっぱなしじゃないの
まあ、体育会系の押しが強い猛将も使い道があるが、所詮は馬なんだよなぁ
誰かが運転しなきゃ崖から飛び降りるな もう一個思い出したけど
時価総額3000億円の会社なんだぜ!と目の前で言い放ったオーナー社長がいて
数年後に株価0になった上に逮捕されてたのもいたわ
時価総額兆円未満ならウエットな人間関係でも経営できるけど、兆円越えしてるのはことごとくウエットな創業連中をばっさり切って、人間を将棋の駒の如くドライに動かして、捨て駒をどんな状況でも正確に切れる奴だけだな
管理対象が300人までならギリギリ顔と名前を一致させて個性を発揮させてやれるけど、1000人オーバーなら数字で管理した方が楽だし、それ以外の方法はないね
個人的な感想で申し訳ないけど、もう数字しか見てないわ CEATEC 2019事前情報:電池駆動も可能な超低消費電力AI、Latticeが展示 - EE Times Japan
https://eetimes.jp/ee/spv/1909/18/news028.html
ラティスセミコンダクターは、電池駆動の実現も可能な低消費電力、オンデバイスのAIソリューションやハードウェアルートオブトラスト(RoT)を実現するための組み込みセキュリティブロックが搭載されたFPGA、
フレキシブルなビデオコネクティビティのためのMIPI D-PHYハードIPを搭載したFPGAに注力。同社のFPGA製品であるECP5、CrossLink、MachXO3D、iCE40 UltraPlusのそれぞれについて、「特徴を最大限活用したデモを展示する」としている。 分かる人いたら教えてください。
XILINXのVivadoでFPGA回路作ってるんだけど
コンフィグ保存用のSPI Flashはサポートが明記されているモノ以外は
認識しないですかね?
適当にピンコンパチのSPI Flash使ったら
Unable to read device properties.
Please make sure that the proper configuration memory part is selected.
と言われて書き込みできませんでした。
Vivado上でメモリパーツを選ぶ際に選択肢になかったので
メモリサイズが同じ別のデバイスを選択しました。
Vivado側からメモリデバイスをチェックしているということなんでしょうか? プロトコルが互換なら大丈夫でしょ
そもそもどうやって違うって認識するのさ SPI FlashのIDを読んで認識するので、
騙すバッチを書く裏道がある
しらんけど 使えるのと使えないのがある
たまたま使えたのかもしれないけど深くは考えなかった
サポート対象品を購入して解決おすすめ
万一動かない場合があると地獄なので 皆さんありがとう!
今回初めてなので
どこに問題があるか中々切り分けられなくて。
SPI通信用の四本のうちFPGAからの出力三本は
クロックを含めそれなりに波形が出ていたので
フラッシュの相性ってあるのかなと
疑問に思ったんです
ID見てチェックしてるのなら
認識できないフラッシュがあるのも納得できました
ちなみになんですけど
うまくフラッシュに書き込めた場合は
次回からパソコン使わずに基板の電源投入だけで
いきなり動き出すようになるんですよね? >次回からパソコン使わずに基板の電源投入だけで
>いきなり動き出すようになるんですよね?
そんな初心者なのに、なぜサポートされていないflashを使うようなことを……
冒険者だな
回路とか間違ってなければFPGAが読み込んでくれるはず コンフィグ時はid見ないけど、vivadoからの書き込み時はチェックされる
ISEと違って誤魔化せない 一度の積和演算を数サイクルに分解して演算器を使い回しするにはHDLでどう書けばいいでしょうか? >>511
まず、積和演算をどのようにHDLで書くつもりでしょうか? 例えば1クロックでx=a*b+c*d+e*fのように演算させるところを掛け算器一個だけで3クロック使って演算させたいです。
コンパイラはvivadoを使っています。 こんな感じ?
module top(input clk, output [31:0] x); // x = 2 * 3 + 4 * 5 + 6 * 7
wire [31:0] x;
reg [2:0] s = 3'b001;
reg [31:0] a;
reg [31:0] b;
always @(posedge clk) s <= {s[1:0], s[2]};
always @* begin
case (s)
4'b001: {a, b} <= {32'd2, 32'd3};
4'b010: {a, b} <= {32'd4, 32'd5};
4'b100: {a, b} <= {32'd6, 32'd7};
endcase
end
addmul addmul_i(clk, a, b, x);
endmodule
module addmul(input clk, input [31:0] a, input [31:0] b, output reg [31:0] x);
initial x <= 0;
always @(posedge clk) x <= x + a * b;
endmodule >507
ホントですよね……
つい手元にあったもので試してみちゃいました……
>505,506
回路はUGまんま参考にしました
SPI x1 で接続なので、VivadoではSPI x1を選択、
FPGAのmode端子もSPIモード指定してます。
FPGA自体にはプログラムできて、動きました(JTAG only モード)
flashへの書き込みだけがうまく行ってないです
>507,510
参考になります!
ありがとうございます!
まずはちゃんとサポートされてるflashに替えて試してみます! >>513
>例えば1クロックでx=a*b+c*d+e*fのように演算させるところを掛け算器一個だけで3クロック使って演算させたいです。
>コンパイラはvivadoを使っています。
これ新人君で先輩から課題を与えられて、考えることが出来ないと予想しましたが如何でしょうか >>516
FPGAが出力するデータ信号に対して
SPIから応答(何らかのシリアルコード?)があるので
動いていると思います。 >>518
初心者にはちょうどいい難易度ですよね
私は学生さんの宿題だと思いました 確かISEの時はwindowsの環境変数にIDチェックを
スキップする変数を書けばできた
vivadoでもあるのかな?
もしダメなら代理店に相談すれば
色々と教えてくれるよ Intel、PCIe 4.0/Optaneメモリに対応したFPGA「Stratix 10 DX」
2019年9月20日 18:44
https://pc.watch.impress.co.jp/docs/news/1208609.html インテルがアル寺を買収したかった最終目的のはコレ?
もうQuartusがしょぼすぎてXiに乗り換えちゃったけどさ いんや
Intelは100Gbit/sや400Gbit/sのLANをCPUに統合する際にTCP/IPオフローディングをFPGAで狙ってる
規格がどう転んでも真っ先に対応するたにFPGAとの自由な統合が必要
そして世界初のリードを保てるうちはいくらでも儲かる
の予定だったがFabがなー
セキュリティホールでCPUがなー
AMDがXiとくっついたら面白いが、ファブレスとくっつく意味が薄いのも事実 >>513
ここは学生の宿題に答えるスレじゃないし、課題は自分自身の独力で解かなきゃ何の意味もないよ
単位だけが欲しいなら、外注すれば?
仕事頼めるサイトあるじゃんか
それを使ってインド人にでも頼め
はっきり言って、こんな所で初歩の初歩を教えてもらって育ったような人材はどこにも活躍の場はない
30分本読むなりネットで調べるなりして
15分で書いて
15分でテストベクター作れる程度の頭が無いなら、不向きとしか言いようが無い
数学が苦手な奴が保険会社に入って、保険料の算定を任されたとして、何ができるんですか?ってレベルで合ってない >>526
専用ソフトにパラメータ入れたら金額が出るよ
君が手計算でシミュレーションしないのと同じだね たとえ話はある程度同じ認識をもつ人間同士でしか成立しないから人を諭すときには不向き ム板とか電磁気学では宿題よく見るけど
FPGAとかで宿題依頼観たのは初めてな気がする >>525
こけてxilinxに負けて、intel事業潰してaltera無くなりそうな未来まで見えてます >>524
デバイスの性能云々以前にツールがダメダメでデバイスを使えないという
なんかIntelに買収されてから微妙になってきた気がする 今後、CPUだけで自社Fabを維持できないのが見えてたからな
Foundry ビジネスでも始めるつもりで手に入れてはみたが
当のプロセスが失敗続きでメロメロ状態、本業の自社CPU供給すら不自由する始末
あとは皆さんご承知の通り ■ このスレッドは過去ログ倉庫に格納されています