X



トップページ電気・電子
1002コメント312KB
【FPGA/CPLD】 XILINX/ALTERA/Lattice/Actel #27
レス数が1000を超えています。これ以上書き込みはできません。
0003774ワット発電中さん
垢版 |
2019/06/07(金) 13:51:01.83ID:T1fYoTZD
FPGAマガジンNo.20 発売中断のお知らせ
http://fpga.cqpub.co.jp/
0009774ワット発電中さん
垢版 |
2019/06/07(金) 15:19:21.84ID:i49jk0jg
それもあるが
あの件は著者が夜逃げして
原稿が落ちて穴開いたから
って誰か言ってた
それでその記事だけじゃなく
丸ごと全部飛ぶのもすごいが
0010774ワット発電中さん
垢版 |
2019/06/07(金) 18:20:34.36ID:kjD3z0Vh
公式見解は編集長がハード的に壊れたからだと言っていたが
0012774ワット発電中さん
垢版 |
2019/06/07(金) 21:15:33.71ID:jQJmcwZR
クッソ笑えるわ

955 名前:774ワット発電中さん [sage] :2019/06/04(火) 22:17:16.40 ID:VQseM9s6
西海岸のASIC屋なんだけど、日本の半導体屋の求人事情ってどんな感じ?
0013774ワット発電中さん
垢版 |
2019/06/07(金) 21:40:51.35ID:jQJmcwZR
まじで自作自演笑えるわ

なんでこんな自作自演するのか

962 名前:774ワット発電中さん :2019/06/06(木) 07:00:57.49 ID:aQNMETTw
>>958
956だけど、子育ても一段落したし、ぼちぼちに日本に帰ろうかと模索してる。
人材紹介会社とも話したけど、そっちにいた方が絶対良いですよとは言われた。
でも日本帰りたんだよね、食べ物美味しいし。
でもまだ仕事したいし、仕事する以上は相応の給与は欲しいし悩ましいわ。
0016774ワット発電中さん
垢版 |
2019/06/07(金) 22:34:56.63ID:3vafgQic
気づかんかったわ、自演だったか
言われてみれば、確かに…
0017774ワット発電中さん
垢版 |
2019/06/08(土) 01:03:30.14ID:SKxMXxeU
>>15
>騙されるやつがバカ

君はサイコパスとして無能
0018774ワット発電中さん
垢版 |
2019/06/08(土) 04:31:05.58ID:I2ErqtZq
>956だけど
俺には955と書き間違えただけのように見えるが
0021774ワット発電中さん
垢版 |
2019/06/08(土) 21:39:59.76ID:ou7HT+c9
それはどうかな?
頭悪い人を演じているだけかもしれないぞ
0026774ワット発電中さん
垢版 |
2019/06/09(日) 00:53:09.35ID:QYdTsthV
linux動かした、ならなくはないんだけどLEONでsolarisって、ひっかからないんだよな。
0027774ワット発電中さん
垢版 |
2019/06/09(日) 04:04:39.36ID:yqRnA8Ih
西海岸のFPGA屋なんだけど、日本の半導体屋の求人事情ってどんな感じ?
0028774ワット発電中さん
垢版 |
2019/06/09(日) 04:09:24.06ID:PVKAST36
27だけど、子育ても一段落したし、ぼちぼちに日本に帰ろうかと模索してる。
人材紹介会社とも話したけど、そっちにいた方が絶対良いですよとは言われた。
でも日本帰りたんだよね、食べ物美味しいし。
でもまだ仕事したいし、仕事する以上は相応の給与は欲しいし悩ましいわ。
0029774ワット発電中さん
垢版 |
2019/06/09(日) 04:22:00.99ID:yqRnA8Ih
>>21
SHでーすウヘヘヘヘ
儲からなくなったからFPGA手配師としてたんまり儲けるぜ
ウヘヘヘヘ
0031774ワット発電中さん
垢版 |
2019/06/09(日) 12:34:32.40ID:jGUp2e6C
>>30
もう少し具体的に、SPARCの仕様のありかとか、もし先人がいるならURLとか、教えていただけないでしょうか!?
0034774ワット発電中さん
垢版 |
2019/06/09(日) 14:40:44.10ID:FQOAF5xa
最近どっかで観たなこの流れ
0039774ワット発電中さん
垢版 |
2019/06/09(日) 17:43:22.29ID:jGUp2e6C
ありがとうございます!
読んでみて、わからなかったらまた来ます!きっと来ます!!
0042774ワット発電中さん
垢版 |
2019/06/09(日) 18:59:26.11ID:6Ez21mND
>>41
ググレカス
0043774ワット発電中さん
垢版 |
2019/06/09(日) 19:03:32.10ID:8gRXwReB
>>41
別に興味なかったけどググってみた。
SPARC Verilog でぐぐると OpenSPARC が出てくるね。
さらに OpenSPARC solaris で 勝手に opensparc t1 solaris 10 image の検索候補が出てくるぞ。
0046774ワット発電中さん
垢版 |
2019/06/09(日) 19:14:23.32ID:8gRXwReB
>>44
確かにヒマだけど、返信するより短い作業時間だぞ。やろうと思って 30秒ぐらい。
0047774ワット発電中さん
垢版 |
2019/06/09(日) 19:18:40.86ID:hNNvQz30
昔のチャット知り合いに、ググれば済むようなこともなんでもネット越しに聞いてきて

なんで調べないの?とのこちらの問いに
「だって確かなことをわかってる人から聞いたほうがいいかと思って。」とか言いやがった。

彼は自称T芝の上級幹部候補生らしいが、あれで上級幹部になれるなら、T芝終わったなと思った。
0048774ワット発電中さん
垢版 |
2019/06/09(日) 19:20:21.97ID:6Ez21mND
>>47
昔東芝にいたわ。中小より給与安いし5部署ぐらいハンコラリーさせてクソだから辞めた
0051774ワット発電中さん
垢版 |
2019/06/09(日) 19:31:12.58ID:hNNvQz30
>>50

俺の中じゃ、JALと一緒で、一旦つぶれても、事実上営業続いているっていう企業だと思う。
0052774ワット発電中さん
垢版 |
2019/06/09(日) 19:39:21.81ID:DMVHAwGq
魚を与えるのではなく、魚の釣り方を教えよ!

などと言う人もいるけど、底辺学校には魚を与えないどころか魚の釣り方すら教えない教員もいるのが悩ましい
0053774ワット発電中さん
垢版 |
2019/06/09(日) 19:59:04.19ID:vqIWyy44
「底辺学校には魚の釣り方(学習のプロセスや考えかた)を教えないどころか、魚(答え)すら与えない教員もいるのが悩ましい」

ではなくて?

でもなあ。ほぼ全入と化している高校までだと着席させることさえ困難なこともあるわけだし、
大学でも学習意欲が乏しい学生がとりあえず卒業するために、先生ができることなんて限られてくる。

エンジニアがおよそ仕事ができない環境に放り出されたときに、仕事ができないと責められてもどうしようもないのと同じ。
他業種や条件が違う人を印象だけで責めちゃだめだと思う。
0054774ワット発電中さん
垢版 |
2019/06/09(日) 20:20:29.97ID:DMVHAwGq
>>53
順番はどっちでもいいと思ってる
どっちも必要なので

一時的に教員経験したことあるけど、卒業研究は魚の釣り方だけ教えて後は放置する方が楽だったので、>>52の順番にした
0055774ワット発電中さん
垢版 |
2019/06/09(日) 22:41:23.74ID:vqIWyy44
>>54
それはとてもよくできる学生さんだからできることじゃないですかね。
0056774ワット発電中さん
垢版 |
2019/06/10(月) 01:22:07.76ID:003/yJxb
>>19 良い先生だな。物理よりも測定器作らせて組み込み技術を習得させたほうが
いいよな。大阪市大の出で組み込みも既にマスターしてるなら就職無双だな。
0057774ワット発電中さん
垢版 |
2019/06/10(月) 01:26:02.52ID:003/yJxb
>>53 間違って底偏差値高校に入った経験があるが、何故か理学修士が担任で
クラスメートの過半数を別のクラスに追いやって、一部の人間だけに微分積分で
解く物理とか、半導体物性とかを放課後強制して受けさせられたな。

受験に何の役にも立たないのにトラウマでしかない
0058774ワット発電中さん
垢版 |
2019/06/10(月) 01:31:10.45ID:003/yJxb
>>53 校内で上と下で偏差値が40ぐらい差がある高校にいたせいか、
学級崩壊をみても別になんとも思わない。

授業中にウロウロして奇声をあげる生徒もいたが、あれはあれで良いところがあるんだよ。
社会には多様性が必要だ。

自分と似た価値観しか認めないのでは、それは社会主義、共産主義支持者と同じ精神構造だな。
0059774ワット発電中さん
垢版 |
2019/06/10(月) 01:38:09.89ID:003/yJxb
授業中に奇声をあげて走り回る生徒とか、まだ人格的にまともだよ・・。

社会に出たら社宅に侵入して物を荒したり、電車でいきなり後ろ脚で蹴るやつとか、
自動車でビビらせようとアクセルペダルを踏んで、踏みすぎて実際にはねてしまうやつとか、

そういうやつばっかで困る。
0060774ワット発電中さん
垢版 |
2019/06/10(月) 01:40:34.73ID:003/yJxb
>>53 別にいいだろ着席ができなくても。組織(ある属性の)をあげて陰湿なイヤガラセをして蹴落とすことが競争と
本気で思っている人間よりは。
0061774ワット発電中さん
垢版 |
2019/06/10(月) 01:49:51.77ID:003/yJxb
>>47 大会社は幹部どころか一般社員もネットしないよ。ネットで本音を露呈したら
ある属性の対象者になって、精神疾患コースやからね。
0062774ワット発電中さん
垢版 |
2019/06/10(月) 01:51:40.91ID:003/yJxb
今時のSNSは、ヤラセ(何かを演じている)、人工知能が半分。
あとは目立たないように、食い物をアップしたり、事柄を淡々と述べる投稿。

本音なんか言ったらアウトだな。
0065774ワット発電中さん
垢版 |
2019/06/10(月) 13:05:27.43ID:JZTLdEoi
>>47
漏れも15〜20年くらい前にT芝の人らとの交流で同じ経験をした
T芝将来ヤバイと思ってたけどやっぱり潰れた
0067774ワット発電中さん
垢版 |
2019/06/10(月) 23:35:04.99ID:zSNItzh6
>>61

自分はそう言う処世術がなってなかったので
そう言う不文律の存在を知らなくて
思いっきり5chに本音書いて人生詰んだ人

ただ地味に今年は五千円ちょっと給与が上がったので
ころがされる感じではない

生かさず殺さずの飼い殺し路線w
0069774ワット発電中さん
垢版 |
2019/06/11(火) 10:16:14.86ID:svt/lrKH
>>68

そうしたいところだが
そうしたらガチで殺されると思う
色々とブラックな企業なので
何するかわからない

例えば転職先にやってきて散々ディスっていくとか
転職先と取引があったら圧力かけて
外して欲しけりゃこいつを嬲りゴロせ ぐらい言っても不思議でない
0074774ワット発電中さん
垢版 |
2019/06/11(火) 15:05:21.97ID:vsC/yt+d
エサを与えないでください
0075774ワット発電中さん
垢版 |
2019/06/11(火) 16:48:13.44ID:86Kk6yho
元勤務先から集団でいやがらせを受けているとか言い出しそう
0076774ワット発電中さん
垢版 |
2019/06/11(火) 19:53:42.84ID:NhBK5fkj
なんだなんだFPGAメーカがそんな日〇電気みたいなことすんのけ
0077774ワット発電中さん
垢版 |
2019/06/11(火) 20:23:34.83ID:svt/lrKH
集団で嫌がらせは無いが、社会的に潰された奴は結構いるよ

by ハメハメハ電機のパコパコ太郎
0079774ワット発電中さん
垢版 |
2019/06/11(火) 21:48:39.19ID:5BGfy4ch
普通にあるだろうよwww
社会的につぶされるってwww

転職したいときに、転職先の人事が、現職の人事に確認したところ、「あの社員はひどい素行で〜〜〜」とかあることない事
悪いことを吹き込んで転職を阻むとかありそうじゃんw

そういうのも考えて、あんまり自分の勤務先の悪い事書いたら駄目だよwww
0080774ワット発電中さん
垢版 |
2019/06/11(火) 22:09:12.01ID:86Kk6yho
>79
>転職したいときに、転職先の人事が、現職の人事に確認したところ、

そんなことしねーし、聞かれても答えねーよ。
まっとうな会社ならな。
5chやSNSに書くと社会的につぶされるとか本気で思っているなら病気。
0081774ワット発電中さん
垢版 |
2019/06/11(火) 22:12:00.53ID:5BGfy4ch
>>80

おまえ社会人じゃないだろww
お前のおつむにお花畑が俺には見えるwww

いままで屍の山見てきた俺には確信を持って言える。
79のようなことは現実だwww
0083774ワット発電中さん
垢版 |
2019/06/11(火) 23:46:22.40ID:NFaRtboN
>>67 状況が酷くなる前に教えてあげるけど、集団ストーカーっていうのは
電磁波(マイクロ波)を使って、妄想を見せてるんであって、

日本に1千万人いる創価学会員は、その妄想が酷くなるように、ただゲラゲラ笑ってる
だけで彼らは別にターゲットのことを何も知らんからね。

私はやられて3ヶ月ぐらいで気づいた。頭んなかも見られてるけど、それは
中央のデータセンターのみぞが知ることで、

みんなが知ってると思わせるために周囲の人間の声を借りてあなたに電波を
あびせてイヤガラセや陰口をしているように見せているだけ。

人間ってアフリカ人だろうと日本人だろうと、ある特定の周波数を与えると
テレビのように映像がみえるわけね。
0084774ワット発電中さん
垢版 |
2019/06/11(火) 23:51:24.71ID:NFaRtboN
>>65 だからナリスマシだって。中小企業勤務でさえ、自分の勤務してる会社
なんか怖くて出せないよ。コンプラや規則に厳しく社内競争が酷い会社で
ネットで勤めてる会社を出すバカがどこにいるのか。

信じる阿呆も相当だな。

入社早々、「うちってブラック企業だよ。まじやめたほうがいいよ」といって
やめさせようとするのを真に受けるタイプか?
0085774ワット発電中さん
垢版 |
2019/06/11(火) 23:53:42.25ID:NFaRtboN
>>67 集団ストーカーだとかモビングだとか知らんが、そう思っているうちは華。
ガチで重度の統合失調症にさせられるからな。

漏れは仕組みがわかってるからあまり気にならないが、実際に事故(自動車で故意にはねられる)
も起こされるからムカツク。
0086774ワット発電中さん
垢版 |
2019/06/11(火) 23:57:47.54ID:NFaRtboN
創価学会員は集団ストーカー被害者同士だからな。まあ小さいころから頭に電波を
受けて幻聴、幻覚を見せ続けられてから耐性がある人が多い。

しかも仲間と一緒にいるときはその幻聴、幻覚が気にならないからな。
彼らはただ遊んでいるだけ。

ただ電磁波制御によって思いつきで話したことが、たまたま傍にいる集団ストーカー
とやらの被害者にとってタイムリーなことのため、被害妄想の強い人が
学会員が自分のことを知っててイヤガラセをしていると思っているだけ。

コレだけならいいが、好き嫌い、惚れた冷めたも多少なら制御できるから、
そういう意味での軽微な就職妨害なら可能だろう。

もっともFPGAの最先端の技術を身に付けている人間にとってはそんなものは
ノーダメージだろうが。
0087774ワット発電中さん
垢版 |
2019/06/12(水) 00:01:06.90ID:6SNHbWda
高校のころ学会員教師が、創価学会は嫌われ役なんだぞ、って力説してた。
まあ、日本に70年前侵略したかの世界征服を目指している戦勝国だな。
電信電話やらテレビジョンなんかも。

ソ連が崩壊した20年前なんかは一強世界で、日本は完全にかの国にキンタマを
握られて逃げ場がないような状態だったが、

いまは中国もあるし欧州も対抗勢力として連帯しはじめたからな。
こんなばかばかしいことをタブーにする必要もあるまい。
0088774ワット発電中さん
垢版 |
2019/06/12(水) 00:17:05.51ID:6SNHbWda
私は創○の人と仲良く遊んでいた時期もあったから、そこまで敵意はないが、
学会活動とやらで学校あるいは会社が終わったら、永延と同志と街中を散策し、

電磁波制御で思いついた会話をポンポンと話して楽しくやる・・という学会活動に
終始しなければならなく、

私の場合、見た目が弱そうなので、もし入ったら真っ先にブッテキに刺し殺されるのは
わしだからなあ。。。

中学生のころソフトテニス部だったが、漏れは学会員でもないのに楽しくやってただけで
被害妄想感MAXのブッテキに逆恨みされて、いつもテニスラケットで殴りつけられそうな勢いだった。

そこは彼の空気を読んでうまくやってたけど、学会の場合、それを遂行することが任務だからな。
カワイソウだからお話をやめてやろう、とかそういうのはだめ。
0089774ワット発電中さん
垢版 |
2019/06/12(水) 00:19:15.72ID:6SNHbWda
まあ、ある属性の人が有利なのは事実だろう。ただ、職業的には営業向きだろうな。
技術系なら学会活動でコードを書く時間がとられそう。

コード書くときって、ある時期集中して10時間だとか20時間ぶっとおしで
やらんと身に付かんことってあるじゃん。

学会に入るとそういうのできないらしいんだよね。
0090774ワット発電中さん
垢版 |
2019/06/12(水) 00:20:33.23ID:6SNHbWda
ちょっと書きすぎた・・・。

本当のことを書くのが一番まずいから、あしたは厄日だな。。
被害者にはなっても加害者(自動車で人をはねてしまう等)にならんように気をつけよう!
0091774ワット発電中さん
垢版 |
2019/06/12(水) 00:24:45.23ID:6SNHbWda
漏れは入ったことが無いから知らんが、集団ストーカー以前、つまり幻聴幻覚を
見せられる過去のいろんな人間を観察した総合的な判断によると、

だいたい後から入った人間は「盾(嫌われ役)」のために髪をそめて、わざと
いぎがって矛先が向くように演じているよな。
0092774ワット発電中さん
垢版 |
2019/06/12(水) 00:31:07.88ID:6SNHbWda
>>67 実際、本当にイヤガラセを受けたほうがマシだよな。法的手段に出るなり、反撃
するなりできるだろうし。すべて妄想だからなあ。

もっともターゲットはそういう妄想を見ていることを知っているある属性のなかの
一部の人間は、足元をみてやる場合があるがな。

現実と仮想の区別がつきにくいから無視するしかない。

ただマイクロ波という最強の統制ツールがあるのだから、それだけで人間を
潰すのが理想であり、実際に要らん事(後発組学会員の煽り運転)をしたりすると

露見や組織風評の観点からマイナスなので、活動がやや乱暴、あるいは粗雑になると
ブツバチが与えられるんやで。

学会員に不幸が多いというのは、そういうことなんやで。
0093774ワット発電中さん
垢版 |
2019/06/12(水) 00:35:56.83ID:6SNHbWda
あんまり我慢するとストレスが溜まって、変なところでにじみ出るからな。
発散は大事だ。

こないだ自動車でアクセルペダルを踏み込んで驚かせようとした爺がいたから、
ハラがたって追いかけていって、かさで自動車を何回も殴りつけたが、逃げていった。

バールで殴ったらこっちが不利だが、歩行者VS自動車ということで法的優先度を考えたら
向こうが不利なので、かさで自動車を殴りつけるぐらいは正当防衛だろうと思って
ストレス発散のために故意にやった。
0094774ワット発電中さん
垢版 |
2019/06/12(水) 00:37:34.44ID:6SNHbWda
キュウソネコを噛むじゃないが、統合失調症患者が強制入院させられるのは
「防衛本能」に依存しているからな。

闘争本能をしっかりも持てば、法的優先度を考えて発散もしつつ冷静な判断ができるだろう。
0095774ワット発電中さん
垢版 |
2019/06/12(水) 00:39:11.51ID:6SNHbWda
法的に不利な自動車を運転するときは菩薩の心で。

歩行者のときは自動車に対しては法的に最強なので、バールで自動車を殴りつけて
器物損壊のリスクを負うなどの異常なことをしない限りは、多少やり返しても問題ない。


by 故意に自動車にはねられた者
0096774ワット発電中さん
垢版 |
2019/06/12(水) 00:43:39.36ID:6SNHbWda
ただ警察がいるときは注意な。彼らは連帯して適当なでっちあげで冤罪を作るのが得意だから。

ヘッドライトでイヤガラセをしておいて、こっちが言い返しに自動車の窓をバンバンと叩いて
「永延と私の部屋にヘッドライトを照射してなにしてんですか!」

といったら、なぜか爺が逆切れ。
「おまえ、いま車の窓ガラスを破壊しようとしたやろ!」と何故か警察を呼ぶ。
始末書まで書かれた。

いきさつを説明したが、警察は全く無視して、「いいから始末書をかきなさい」の一点張り。

こちらが感情的になっていたから爺を呼び止めるために窓を強めに叩いたのは事実だから、それを
正直にいったら、

「相手を怖がることをしない」などと意味不明なことをいう。

そのあと、「だったらあなたの部屋の前にあるお弁当屋さんは朝3時から騒音がひどいけど、そちらは気にならないの?」とニヤニヤ笑う。

「仕事をするために必要な音ですから。佐川急便の横付け駐車も仕事だから仕方ないでしょ」と私がいうと、
「そうなの」という。
0097774ワット発電中さん
垢版 |
2019/06/12(水) 00:46:50.50ID:6SNHbWda
・ヘッドライトでイヤガラセをされ文句を言ったら、なぜか器物損壊で警察に通報された事件
・ターボエンジンをつんだ車で猛スピードでこっちに突っ込んできて脅かしてきた事件。
・横断歩道を渡っているときに、停止していた車が突然こちらをにらみつけてアクセルペダルを踏んで、本当にはねられてしまった事件

1ヶ月でこのありさま。ほんと、高校時代に創○の友人がいなかったら、いまごろシナノ町に火をつけたいぐらいだな。
0098774ワット発電中さん
垢版 |
2019/06/12(水) 00:50:15.15ID:6SNHbWda
私にマイクロ波をあびせて重度の統合失調症にさせることで、
私が発狂して自然に精神病院に強制入院し潰れることを期待したようだが、

なかなか冷静に会社に行っていたから、今度は頭の弱い後発組に暴走させて
実際に私に威嚇、暴力、窃盗を繰り返して潰そうとするも、

それも私に効き目がないため、今度は「お前の幸せを本当に期待してるんだよ」
「修行だよ修行」「もっと良い企業があるから、そんな会社を辞めて・・」

などと意味不明な折伏が始まった。アメとムチを永延と繰り返して洗脳して
引き込むか、引き込まれないなら潰すのが、彼らの方針。

引き込まれたとしても前述にのべたとおり、「盾」として機能し、一切、
福運はもたらされない。

しかも、後発で入ったのち脱会した親戚は死んだよ。
0099774ワット発電中さん
垢版 |
2019/06/12(水) 00:51:53.48ID:6SNHbWda

は嘘でも妄想でも無いんでよろしく^^

こうなりたくないならターゲットになったら早めに精神科にいって自分が
うつ病または統合失調症であることを自覚したふりをすることをおぬぬめ。
0100774ワット発電中さん
垢版 |
2019/06/12(水) 00:58:23.59ID:6SNHbWda
世間に県下を売っておいて、自分たちだけは穏やかな幸せを得ようなんて考えが
甘いんだよ。

アメリカ合衆国でさえそんなことできなかった。
農耕民族の日本人にそんなことができるわけがない。
0101774ワット発電中さん
垢版 |
2019/06/12(水) 01:03:13.98ID:6SNHbWda
煽り運転なんか日常茶飯事、というか毎日。

原チャリ乗ってたらボックスカーがスレスレでツケてくる。夜とかはワザと
ハイビームにするから、こちらの運転も危うくなる。
そのあと急に左折し、原付スレスレまで近づいてきてニヤニヤしながら去っていく。

ある程度は無視するが、あんまり酷い運転をされたときの予防線のために、

危険運転をするキチガイ乗用車がいたらその窓に火のついたタバコを投げ込めるように、
いつもタバコを咥えたまま運転している。
0102774ワット発電中さん
垢版 |
2019/06/12(水) 01:06:12.00ID:6SNHbWda
私なんかどんなに煽り運転されても、予防線のためにタバコを咥えているけど
常に菩薩の心で低速運転してるからな。

歩行者を見たら当り屋と思え、じゃないが歩行者が1人でもいたら30kmどころか
20kmにまで減速して運転している。

調子に乗って「調整している」と勘違いしている阿呆どもが、最近、
大津の事件をはじめとして、次々と人をはねころしてるよな。

まあ、座まあみろとしかいいようがない。
0103774ワット発電中さん
垢版 |
2019/06/12(水) 01:14:07.74ID:6SNHbWda
電波妄想が酷くて耐えられなくなって入った人間は基本的に幻聴に心をゆだねる。

この電磁波統制が「政府の意向だ」、集団ストーカーを「社会のごみ掃除だ」と
いわんばかりだ。

確かに広域指定暴力団や、その師弟、親戚は無差別にターゲットになり相当
潰されているが、これは正義じゃなく、米国の方針だからな。

アンダーグラウンドがあると統制しにくいからアンダーグラウンドを潰して、
表に見える世界だけにして統制したほうがやりやすい。

事象は複雑だ。電磁波に毒されると政府だとか宗教団体など単一の勢力が
この世をすべて支配していると妄信してしまう。
0104774ワット発電中さん
垢版 |
2019/06/12(水) 01:20:36.32ID:6SNHbWda
確かに洗脳は強力だよ!私も技術的なことに興味がない人間だったら、多分とっくに洗脳されてただろうな。
絶望感を与えたあとに、期待感を与える。コレの繰り返し。

電磁波による脳神経制御(人心操作、記憶操作)も確かに技術的に可能だから、
より洗脳を強固なものとする。

これに打ち克つには、圧倒的にやられっぱなしの中でも、少しでもいいから
社会貢献をするに限る。

私はフリーソフトを作って公開し、攻撃を緩めてもらおうという賎しい魂胆であるw
0108774ワット発電中さん
垢版 |
2019/06/12(水) 09:09:55.45ID:FknwC4uP
ガチな話
日本の経済が狂ってから
かつてのホワイト企業のブラック化が進んでいて問題視されててNHKでも報道されてたよ

真面目に氷河期組に対する扱いが酷いので心おだやかじゃ無い40代は多いと思う
0109774ワット発電中さん
垢版 |
2019/06/12(水) 11:08:39.92ID:Ha8b/xaU
芝にある●電気の本社タワービルは中が吹き抜けになってて
ときどき上から人が降ってくるって言ってたな中のひと
0115774ワット発電中さん
垢版 |
2019/06/13(木) 11:29:47.58ID:X1yOJWRg
けど氷河期組に対する処遇があんまりだって言う事は事実じゃないかな?
特定企業の話ではなく一般論で
0117774ワット発電中さん
垢版 |
2019/06/13(木) 22:41:12.36ID:V8CakK+2
FPGAって「フィールドプログラマブルゲートアレイ」の略っしょ。

いまでこそFPGAは単独のASSPとして知名度高いけど、
昔はGA「ゲートアレイ」の一種という位置づけだったから、
ASIC屋にとっては自分のお庭みたいなもん。

なのでFPGAスレにはASIC屋が粘着するのはしゃーない。アキラメロン。
0118774ワット発電中さん
垢版 |
2019/06/14(金) 00:42:26.16ID:BGTSdDV5
FPGAのGAに引き寄せられたASIC屋なんて本当に来てるのか?

元々Alteraから入門したクチで、当時はAlteraでの呼称もCPLDだったりで
ゲートアレイの仲間とか思ったことは一度も無いわ。
0121774ワット発電中さん
垢版 |
2019/06/14(金) 10:57:48.29ID:6izqI25p
ASIC屋からすると
FPGAって論理の仮組み検証用のデバイスだろって認識

ガチで

FPGAで仮組み検証用終わったらASICに焼き直して本番だろ JK
0122774ワット発電中さん
垢版 |
2019/06/14(金) 12:09:16.84ID:5untxdDx
ASIC屋ならアリモノのFPGAボードのIP書き換えくらいなら出来るよな。
ヤツらボード設計やIO、PLLなんかはいじれないけど。
0123774ワット発電中さん
垢版 |
2019/06/14(金) 12:42:35.11ID:Eqpt5wKK
CPLDでもGAでもない
ROMだ
0124774ワット発電中さん
垢版 |
2019/06/14(金) 12:46:33.36ID:Eqpt5wKK
ペーターピーターピョートル
シーメンスジーメンス
ジャーマンゲルマンドイツドイチュ
0127774ワット発電中さん
垢版 |
2019/06/14(金) 22:36:49.42ID:QtT0/FPX
FPGAってBGAばっかりだな。数千ゲート程度でもいいから足つきFPGA出てくれんかな。
0128774ワット発電中さん
垢版 |
2019/06/14(金) 22:40:47.09ID:QtT0/FPX
FPGA、統合開発環境が重くなる一方だな。。

2000年代終盤に初めてダウソしたときは3,4GB程度で、それでも吹いたが
今や20GB。サイズだけでなく常駐メモリも大きすぎて Core 2パソでは動かぬ
0131774ワット発電中さん
垢版 |
2019/06/14(金) 22:46:16.96ID:QtT0/FPX
>>130 ASIC屋は社会的には恵まれた地位だよな。ただ、往々にして回路設計そのもの
より上司への承認印を取り付けるなど、間接作業が殆どの会社なんだろうけど。

そういうことをしている間に長野県などの田舎の小さいベンチャーはしこしこと
HDLを書いていると思えば、どちらが技術力が高いかはさもありなん。
0132774ワット発電中さん
垢版 |
2019/06/14(金) 22:48:45.76ID:QtT0/FPX
>>129 いやQFPの半田付けもめんどくさい。ちょっとしたPID演算を並列でしたいとき
足つきマイコン(PIC)では処理的に複数演算など無理だけど、数千ゲートのFPGA(CPLD?)
なら可能だろうし、オモチャを作るのにはちょうどよさそうなんだが・・
0133774ワット発電中さん
垢版 |
2019/06/14(金) 22:51:44.34ID:QtT0/FPX
スレチだが、長野県ってハイテク中小零細が多いけどなんで。おいらの田舎は牛や豚しか
いないのと大違いだ。夜行列車で秋月電子通商に遊びに行ける距離だからかなワラ
0134774ワット発電中さん
垢版 |
2019/06/14(金) 23:01:04.77ID:0LGLGq/D
>>121
FPGA での試作は、ドライバとかのソフトの評価に使うような・・・
遅延とか再現できないから、チップの評価はシミュレーションじゃないの?
0135774ワット発電中さん
垢版 |
2019/06/14(金) 23:19:51.49ID:6izqI25p
>>134

半分あたりで、半分外れ。
タイミングは再現しない。論理のみの再現で、早い段階で仮組セットを立ち上げるのに使うんだよ。

で、FPGA専門でやってるやつらには俺は勝てないけど、
うちのプロトタイプFPGA部門だと、サクッとchip立ち上げてるしな。一瞬で。

ただ、仕様は顧客から舞い込んできたものをまんま使ってるので、
セットへの提案なんてものは、長野のベンチャーとかのほうがノウハウありそう。

あとASIC屋の場合だと、手配とか、気配りとか、先回り予測とか、仕事の段取りとか、
HDLプログラミング以外の部分の作業が大多数なので、HDL書くつもりでASIC屋に来ると
ガッカリだと思う。

もっとも、ASIC屋は、そういう段取り部分をやりたいと思う奴を採用するわけだが。

でも今年の新人は、すごいヤンチャな奴が多くて、勤務先方針転換したの???って思ってる自分がいる。
0137774ワット発電中さん
垢版 |
2019/06/15(土) 02:20:43.61ID:KTpwfoqt
ASIC屋でリストラされてFPGA出来ない奴が統合失調症だということはわかた
0138774ワット発電中さん
垢版 |
2019/06/15(土) 07:11:31.07ID:KTpwfoqt
統合失調症はFPGA設計技術者を上位設計にさせてASIC屋として下請けとして利益を貪りたいだけということはわかた
0142774ワット発電中さん
垢版 |
2019/06/15(土) 14:56:51.29ID:fcF8f8Dz
統合失調症はFPGA設計技術者を上位設計にさせてASIC屋として下請けとして利益を貪りたいだけ
結果FPGA屋の下請けとして低賃金で生活すつ未来が見えた
0144774ワット発電中さん
垢版 |
2019/06/15(土) 18:59:51.26ID:wmVd/R6b
【即時】金券五百円分とすかいらーく券を即ゲット   
https://pbs.twimg.com/media/D9F0yKUU4AAaKOj.jpg 
    
[一] スマホでたいむばんくを入手 iOS https://t.co/Aps5sWrmLq Android https://t.co/pIvIUUWVjX    
[二] 会員登録を済ませる
[三] マイページへ移動する 
[四] 招侍コード → 入力する [Rirz Tu](空白抜き)  
    
今なら更に16日23:59までの登録で倍額の600円を入手可 
クオカードとすかいらーく券を両方ゲットしてもおつりが来ます  
       
 数分の作業で出来ますのでお試し下さい。      👀
Rock54: Caution(BBR-MD5:b73a9cd27f0065c395082e3925dacf01)
0145774ワット発電中さん
垢版 |
2019/06/16(日) 07:32:24.99ID:MiKJLNzx
fpga使ったことない初心者の質問なんだけど
C言語だけで書ける?C++必須?
0149774ワット発電中さん
垢版 |
2019/06/17(月) 01:58:15.48ID:01DrP2Po
>>148 それはコンパイラの問題だからCだから不可能、ということは無いと思うが、
既にHDLがあるのにわざわざ System C が充実することはないだろうね。
0150774ワット発電中さん
垢版 |
2019/06/17(月) 04:17:53.39ID:o9OoMe46
fpgaはいつかPCに標準搭載されるような、今でいうGPUみたいなものになるんだろうか?
0152774ワット発電中さん
垢版 |
2019/06/17(月) 08:02:34.79ID:eg13/Dwl
>>150
ならんだろ。NPU (Neural Processing Unit) が 搭載されるだろう。
高集積度の製造装置が、段々と位落ちして ローエンドになってくる。
MCU 用途には無駄に集積度が高くなるから、そこで FPGA 化という気がする。
0153774ワット発電中さん
垢版 |
2019/06/17(月) 08:26:15.75ID:9VsnNtXs
>>145
基本はVerilog。でも文法は簡単だよ。
論理回路とフリップフロップが分かれば書ける。後はそれの組み合わせ。
で、FPGAで何したいの?
0155774ワット発電中さん
垢版 |
2019/06/17(月) 11:45:00.74ID:spJ2ZwIl
もうとっくに逝ってる
0157774ワット発電中さん
垢版 |
2019/06/17(月) 20:15:21.90ID:3+8qdvgi
>>154

結構マジでそう思ってるやつも多いと思う。
俺なんかは入社直後は若気の至りで
「俺が日本の半導体を変えてやる!」ぐらいの意気込みだったけど、
育って中堅になってみたら、デザインチェンジする程度の
現場の技術者がせいぜいだったでござる。
0158774ワット発電中さん
垢版 |
2019/06/17(月) 20:16:45.85ID:3+8qdvgi
まぁその程度でも普通にVeriogでデザインウイン実績はあるんだがな(*´Д`)
0159774ワット発電中さん
垢版 |
2019/06/18(火) 04:20:49.27ID:ZG7OE/Rc
>>150
サイプレスのスタンスみたいなのが好き。あれでもう少しFPGA部分がちゃんとしていると
いいなと思う。
希望としてはTIの省電力MSP430+MAX10みたいな石があったらなと思う。
0160774ワット発電中さん
垢版 |
2019/06/18(火) 13:58:20.78ID:S/GPAplz
半導体も論理回路も何も知らんでも上級公務員になれば変えられるでござる
0166774ワット発電中さん
垢版 |
2019/06/19(水) 15:24:38.28ID:g8Ru8WxF
もうおわってる
とっくに死に体
緩慢な死へ一直線
0167774ワット発電中さん
垢版 |
2019/06/19(水) 20:07:47.21ID:+UQR93KF
>>163

そんなわきゃなくて、俺が入社した時には上から下まで腐ってたからな。手遅れ。

だけど、俺のやさぐれようをしって、そういうこと言う勤務先の連中が言うとすれば
俺はこう言い返すな。

「入社して早々、やれ辞めちまえだの、派閥争いの道具にしてやるだの。
 その挙句に、大事な人を奪うだのしやがって、詫びはねーのか。
 せめてもの償いで、いまからでも遅くないから嫁さんよこせ。」って感じかな。

ほんと。狂ってる。
0168774ワット発電中さん
垢版 |
2019/06/19(水) 23:05:47.07ID:WaO/fN6r
>>167
ルネサスさんは、有坂さんに年収2千万円の仕事と、嫁つき一戸建てを用意して、そういう無能をリストラすべきだと思いませんか?
0169774ワット発電中さん
垢版 |
2019/06/19(水) 23:09:01.00ID:FTVmtGGe
>大事な人を奪うだのしやがって
何それ。誘拐だったら警察。大切な人が他の人にのりかえたのなら…
0170774ワット発電中さん
垢版 |
2019/06/20(木) 01:17:56.20ID:nqkdXRfq
その時は、大切な人の今後の幸せを祈ってあげよう(ニコッ
0171774ワット発電中さん
垢版 |
2019/06/21(金) 23:58:51.98ID:QMHsSC4n
>>170
それは無論そうなんだが、こっちは独りで寂しい月日がこれで19年目www
流石に人生嫌になってきてる・・・ orz......
0173774ワット発電中さん
垢版 |
2019/06/22(土) 10:50:26.48ID:3K4Fm0Sj
>>171
来世で幸せになってください
0174774ワット発電中さん
垢版 |
2019/06/22(土) 15:33:55.48ID:aLG3fAbh
>>164
終わるわ。
日本は外貨を稼げるのは電気と機械しかなかった
そのうち電気が大崩れでいま機械一本足だから日本の貿易収支みてみな。
今や中韓どころかロシアにも抜かれてる
0175774ワット発電中さん
垢版 |
2019/06/22(土) 15:42:43.85ID:aLG3fAbh
>>164
https://www.globalnote.jp/post-3277.html
コレ見てみろ
いくら経常収支で評価するべく構造変化してるといっても
驚きの190位

アメリカとかイギリス、フランスはさらにその下だけど、
ここらはもうモノ作れない国だから。
20世紀末でも半導体が破綻してないころはまだトップ付近で存在感を示してた
0176774ワット発電中さん
垢版 |
2019/06/22(土) 17:19:31.25ID:Fs3NP63T
仮にそうだとしても、1回終わってみてほしい感ある
終わるものなら
0180774ワット発電中さん
垢版 |
2019/06/23(日) 03:41:37.63ID:y0WNWuCb
>>174
別に終わってもかまわないじゃない。君らが築いてきたものじゃないんだから。
0183774ワット発電中さん
垢版 |
2019/06/23(日) 10:00:43.04ID:UxTRHvQ9
「俺らが築いてきたもの」がレベル低いから日本終わったというのが>>157>>163の話の流れですよね

終わればいいじゃん
守る価値無し
0187774ワット発電中さん
垢版 |
2019/06/23(日) 13:28:28.69ID:ilR10ekG
税金ジャブジャブ使って終わらせるのが問題
どうせ終わるなら一切税金投入せずにさっさと終わらせた方が良い
0189774ワット発電中さん
垢版 |
2019/06/23(日) 18:54:00.36ID:4v/LLc+M
素子夫って、上手くいくかと思いきや、あの体たらくだもんなぁ。
0190774ワット発電中さん
垢版 |
2019/06/24(月) 00:24:17.79ID:1dd6iQaV
>>183
俺らが作り上げたハイレベルなものを
お前らゆとり世代が壊したといっているのだよ知的障害くん
0193774ワット発電中さん
垢版 |
2019/06/24(月) 05:51:20.49ID:JpCtqnq7
>>190
ゆとり世代は、バブル世代の奴らがめちゃくちゃにしたと思ってる。
築き上げたのは、実はその前の団塊の世代だろ。
0195774ワット発電中さん
垢版 |
2019/06/24(月) 09:01:55.00ID:gPen1KcB
数少ない氷河期組の輩だが
普通に考えて団塊やバブルがぐちゃぐちゃにした感じがある

氷河期組は厳選されているので
正論をズバッと言いすぎて
バブルからハブられる

氷河期で出世してるのは大人しい目の烏合の集

ゆとりに至っては余りにアレなので問われない
0196774ワット発電中さん
垢版 |
2019/06/25(火) 02:21:24.80ID:q/6wToV4
そらちがうわエンジニアってことではバブルまでだ
氷河期やらゆとりやらレベルが低すぎてまったくだめ
ゆとり以前に氷河期あたりのやつから大学で補修授業が始まった
つまり今の50未満は糞ってこった
こいつらが今の惨状をこしらえた
0197774ワット発電中さん
垢版 |
2019/06/25(火) 02:24:11.51ID:q/6wToV4
>>195
>氷河期組は厳選されているので

ゲラゲラ
ギャグか?ゴミ選別したところでゴミ
ゴミ厳選して金貸し付けたらリーマンショック起こっただろがwww
0203774ワット発電中さん
垢版 |
2019/06/25(火) 10:24:32.46ID:/lQGIIJB
上の基地外5080問題の当事者かもね

俺らの実感としては団塊やバブルの取締役や役員の読みと筋書きがからっきし外れたのが原因に思うので

氷河期やゆとりは被害者

けど最初からそう言う場末は選ばないと言う選択もあったはずなので
自己責任とか言って団塊やバブルは責任転嫁するんだろうけど

マジで俺らは迷惑してるのでなんとかしろよ
団塊やバブル!能力高くて実力あるんだろう?
0205774ワット発電中さん
垢版 |
2019/06/25(火) 22:07:24.82ID:Yt0stu77
結構マジに思うね。

いま権限握ってる連中!しっかりしろやごらぁ!って。

特にパラノイア気味の団塊とバブル連中。
お前らが舵握ってる以上は、その通りに運航するんだから
きっちりやりやがれダ。
0208774ワット発電中さん
垢版 |
2019/06/25(火) 23:23:26.43ID:Yt0stu77
ルネサスの連中はFPGAには一見の価値アリと見てきた人たちだよ
実際FPGAアーキありきのIPも発表してきたし

偉そうなことを言いながら酸っぱい葡萄としてFPGAを見てるのは阻止夫
0209774ワット発電中さん
垢版 |
2019/06/25(火) 23:26:00.37ID:Yt0stu77
流ね刺すも阻止夫もクソ

みんな壊れてしまえ

実際現場の人間の精神はボロボロのガタガタ
0210774ワット発電中さん
垢版 |
2019/06/26(水) 02:21:19.85ID:61ia757W
よく知らんけど中の人たちも大変なんだね
その分、一体誰が得をしてるのやら
0211774ワット発電中さん
垢版 |
2019/06/26(水) 02:39:08.98ID:+QpHTbl+
みんななんも考えてないからみんなが真剣にババ引いてるというのが最近の流行
0213774ワット発電中さん
垢版 |
2019/06/26(水) 05:43:31.33ID:lWc7X+1A
そして、残りの3割はすべからく出世して、医者いらず

完全な格差社会です。
0217774ワット発電中さん
垢版 |
2019/06/26(水) 19:15:55.42ID:lWc7X+1A
>>214

それは最終成果物を何にするかによる

SOCならVerilogやVHDLがいいと思うけど
センサー用途アンプなら、PDKとSPICEゴリゴリでフルカスタムで作る技量も必要。

どっちかというと、いまのフロントエンド設計の連中が、バックエンド覚えることのほうがハードル高いんじゃん?

バックエンドの人はHDLはあっというまの瞬殺でしょう。俺もだけど。
0221774ワット発電中さん
垢版 |
2019/06/27(木) 11:13:42.09ID:v5Y0xRnU
FPGA 業界ってのは xilinx とか Intel とか lattice なんかだよね?
FPGA ユーザーは FPGA 業界の人間じゃないよね。
0222774ワット発電中さん
垢版 |
2019/06/27(木) 11:42:37.27ID:3ZibbljN
PC自作とPC自作くらい違う
0225774ワット発電中さん
垢版 |
2019/06/27(木) 14:18:32.01ID:GTVRUkDi
FPGAはデバイス単体じゃ何もできない
rtlデザイナーいてこそなんだから、ユーザーも業界に含めていいと思う
rtl書く人足りてないし
0228774ワット発電中さん
垢版 |
2019/06/27(木) 18:48:50.86ID:y4YpvrC1
本人は面白いと思ってるんだよ多分
個人的には嫌いじゃない
0233774ワット発電中さん
垢版 |
2019/06/28(金) 06:08:38.78ID:WvPAfF2B
おまいら

生活に困っても

パーソルテクノロジースタッフ だけはやめた方がいいぞ
0240774ワット発電中さん
垢版 |
2019/06/28(金) 14:29:32.46ID:z2hzYEwX
モニタの電源切ると勝手に解像度変わるアホ仕様は治るのかな?
0242774ワット発電中さん
垢版 |
2019/06/28(金) 23:49:44.52ID:DWOc5MVO
FPGAで光合成プロジェクトは、日本にお金がないので失敗しました
0243774ワット発電中さん
垢版 |
2019/06/29(土) 00:03:19.49ID:PqdaLZCy
>>231
腕に自信あるなら開業されては?
個人事業主だと難しいけど、2〜3人でも一応会社の体裁あれば、
人月70〜80でなら出したい仕事山ほどある
外注探すとどこも100〜120くらいからだから
0244774ワット発電中さん
垢版 |
2019/06/29(土) 02:47:01.64ID:c+LCW/ib
>>243
派遣会社に連絡すればその値段だろ?
FPGA技術者に対する報酬が少なすぎるから

自分でやればw
0246774ワット発電中さん
垢版 |
2019/06/29(土) 12:23:23.71ID:PqdaLZCy
>>244
派遣会社になんてアホ臭くて仕事出せないよ
誰がrtl書くかが重要なのに、頭数だけ揃えましたなんてとこに仕事出せるわけない
FPGAできる受託設計の会社たくさんあるんだから
0248774ワット発電中さん
垢版 |
2019/06/29(土) 14:19:06.78ID:onSnoo/K
誰が書くかなんて関係ない。
ちゃんとしたものが出来るかどうかだ。
AIでもいいんだぜ。
0249774ワット発電中さん
垢版 |
2019/06/29(土) 14:38:28.36ID:EON8r8T1
丸投げは遺憾な
ちゃんと検収しないおまいが悪いとしか
0250774ワット発電中さん
垢版 |
2019/06/29(土) 17:31:54.30ID:SobLqt3Y
0253774ワット発電中さん
垢版 |
2019/06/30(日) 09:04:24.56ID:NU5aHPOq
えっらそーに人間様から仕事奪うっていうなら
せめて言語翻訳ぐらいまっとうにやってもらわんとな
現状じゃとても使い物にならない
もう何年やってんだよ?
0254774ワット発電中さん
垢版 |
2019/06/30(日) 09:18:56.11ID:d/qXD2al
AIやその一歩前にRPAが人間から仕事奪うなんて
なんもわかってない経営層の幻想w
0255774ワット発電中さん
垢版 |
2019/06/30(日) 10:11:56.19ID:d/qXD2al
青ブタの視聴者層はやっぱ高校生主体
時々親に連れられた子供を見かける
その中に混じってる40JJIの俺w

ちょっと場違い感あるなw
0256774ワット発電中さん
垢版 |
2019/06/30(日) 12:40:12.36ID:0j3w0p8+
青ブタまた水曜から始まるな
再放送何回目かな
0257774ワット発電中さん
垢版 |
2019/06/30(日) 12:44:42.93ID:C4ra0iCQ
青ブタってアニメ?
FPGAと何か関係あるの?
(ここで話すなとは言ってない)
0259774ワット発電中さん
垢版 |
2019/06/30(日) 14:12:28.15ID:CcM9/+3G
エロマンガ先生がおすすめ
0260774ワット発電中さん
垢版 |
2019/06/30(日) 14:50:42.56ID:sy3FakKW
>>257

そう。アニメ。カドカワの高校生向け。
いま映画見て昼食食べて帰ってきた。

日常の不思議な出来事を物理論的な解釈で謎解きする主人公の友人が面白くて観てる。
あと主人公の男の子が人間的に優しくて、普段FPGAとHDLで論理ゴリゴリで考えてる人にとっては
異次元の癒しになる。(笑)

お前らも観やがれ。
0261774ワット発電中さん
垢版 |
2019/06/30(日) 15:13:28.51ID:CcM9/+3G
ビーカーでコーヒー飲んでた?
本人も乖離しててSNSでエロ画貼ってて大変だったぬ
0262774ワット発電中さん
垢版 |
2019/07/01(月) 06:20:45.99ID:NkFOYsYh
>>246
>派遣会社になんてアホ臭くて仕事出せないよ

派 遣 の 低 能 ド カ タ に な ん て ア ホ 臭 く て 金 出 せ な い よ

死 ね よ 派 遣 の ア ホ

正しく訂正しときました
0263774ワット発電中さん
垢版 |
2019/07/01(月) 21:52:24.05ID:qEUUCxzu
転職して 月400万円になったんだけど

月100万円レベルのFPGA設計ってどんな簡単な仕事なの?
0273774ワット発電中さん
垢版 |
2019/07/02(火) 11:43:13.93ID:cingJxVp
俺の時給は6750円といわれた。給与だけ見えるとそんなに高くはないはずだが
もろもろ被って、そのぐらいの額に膨れ上がるんだと。。。。

ちなみに、雇用体系は正社員、給与は月給制です。
0274774ワット発電中さん
垢版 |
2019/07/02(火) 14:44:14.97ID:alzVCzkB
時給なのか人件費は別だけどどっちだろ?

時給としても税金でかなり持っていかれるからな・・・
0275774ワット発電中さん
垢版 |
2019/07/02(火) 14:52:07.87ID:cingJxVp
正確には、人件費だと思う。

この前作業用マシンを購入するについて、
自作PCだと約3H分の工数を割けば、約1.8万円安く抑えられるけど
どーする?というのを課長と話して、

「お前さんの1時間の人件費は6750円だから、3時間確保すると
 +1.9万円だろ。だったらトントンだから、組み立ては業者にさせて
 おまえは普通に仕事しろ。」とか言うような話だった。
0277774ワット発電中さん
垢版 |
2019/07/02(火) 15:44:40.68ID:OeJ5JosU
税金もってくのなら有効利用して欲しいわ

今はドブに棄ててばっかりやん
0279774ワット発電中さん
垢版 |
2019/07/03(水) 08:10:27.28ID:gnz2d96q
自分の懐から国庫にもってくって話だろ?
別に何もおかしくないが
0280774ワット発電中さん
垢版 |
2019/07/15(月) 19:13:46.83ID:nTDQQ3ep
中華製FPGAを使ったことある人いる?
遊んでみたいんだけど、どこにもチップが売ってないんだよね
anlogicとgowinってメーカーのやつなんだけど
0282774ワット発電中さん
垢版 |
2019/07/16(火) 09:22:25.29ID:ASakdof0
ボードならアマゾンにあるみたいだけど
Sipeed TANG PriMER FPGA 開発ボード

なぜかurlがNGワードになってしまって検索したら
AMAZ○NてNGワードになったんだ

https://www.amaz○n.co.jp/dp/B07TC53HLP
○をoに書き換えて
0283774ワット発電中さん
垢版 |
2019/07/17(水) 09:23:53.78ID:Fq1BGJda
>>280
そのチップ
QuartusかVIVADOで使えるんだろ?www
フィッタでまんま使えるようにしたら流石ってことになるんだけどな
0285774ワット発電中さん
垢版 |
2019/07/17(水) 09:45:09.36ID:of73e146
>>283
いや、独自のツールみたいよ。ツールのサイズが小さいから IP はほぼないんじゃないか。
あと、論理合成は Synplify とか買ってバンドルすればすむ話。どうしてるかは知らんが。
0289774ワット発電中さん
垢版 |
2019/07/18(木) 13:08:36.84ID:OIdg0jQq
>>285
それじゃーまだまだシナも度胸据わってねーな
寺かXiの100%互換チップ作って人のツールで相撲をとれるようにすれば、
めんどくさいソフトウェア、ツール開発せずに金儲けできるのにwwww
やったらアメリカ劇オコかな?
0290774ワット発電中さん
垢版 |
2019/07/18(木) 14:39:31.60ID:MD/4naxD
中国製のもつといいツールを作って欲しい。
メニューは中国語でいいから。
0291774ワット発電中さん
垢版 |
2019/07/18(木) 15:42:32.17ID:oxtgwTCV
>>289
xilinxとかIntelのIDがないICには書き込めない制限掛けるだけでしょ
IDの偽造は技術的に余裕だけどアメリカと戦争したくないなら
やるわけにはいかない
0292774ワット発電中さん
垢版 |
2019/07/20(土) 18:25:17.48ID:lSknIzqE
IDは偽造するんじゃなく、IDチェックするツールのルーチンを無効にするクラッカー配布した方が簡単じゃね
0295774ワット発電中さん
垢版 |
2019/07/23(火) 18:50:20.31ID:kM3yNFUH
ルネやソシオのほうがネーミングセンスはあると思う
まぁ命名は外注に出してるらしいから、どんだけの実績のある業者にいくら積んだかの問題だと思われ

けど、商売じゃ断然キオクシアのほうが勝ってる。
0296774ワット発電中さん
垢版 |
2019/07/23(火) 19:05:08.40ID:hRSU7OJL
人によるだろうけど、個人的にはネーミングセンスはキオクシア>ソシオネクスト
0299774ワット発電中さん
垢版 |
2019/07/24(水) 13:39:44.06ID:jLJssied
新しいやつの低位グレードはあんま見ないよね
古いので事足りることも多いし
0301774ワット発電中さん
垢版 |
2019/07/25(木) 14:24:19.51ID:BpKXbumK
>>300
octopartで7S6,7S15,7S25,7S50,7S75,7S100と調べてみたけど、7S50が少し在庫少なめなだけで、品薄感はないね
毎月100個とか買うなら、ここでなく代理店に聞けばいい
0302774ワット発電中さん
垢版 |
2019/07/26(金) 12:36:04.18ID:nfwICuqS
1ヶ月以上前の話題に今更乗っかるのもなんだけど、メンターからこんなメール来た

A team at Konica Minoltaョ has been using the
Catapultョ HLS Platform for many years to dramatically
improve their productivity by coding at the C++ level and
using the platform to generate RTL. They recently evaluated
the high-level verification tools in the platform and they
believe that they have proven that C++ level signoff is
possible.

懐かしいな、Catapult Sysnthesis、まだやってたんだ。
0303774ワット発電中さん
垢版 |
2019/07/26(金) 17:52:33.65ID:jmFvDR+5
発信者の許可なくメールを公開するのはプライバシー権の侵害云々
0304774ワット発電中さん
垢版 |
2019/07/26(金) 21:36:26.89ID:nfwICuqS
登録ユーザーに送ってくるプロモーションのメールだしねぇ、むしろ広めてもらいたいでしょ。

そういえば昔は Handel-C の DK Design Suite なんてのもあったなぁ、今どうしてるんだろ?
って検索してみたら、「それも」メンターになってたよww
メンター好きだなこれ系w

遅レスついでに、
>>141
半導体に限って言えば、富士通はもうそろそろファブレス状態じゃないか?
ttps://eetimes.jp/ee/articles/1807/05/news035.html
0308774ワット発電中さん
垢版 |
2019/07/31(水) 00:35:53.95ID:ayJOQY1i
1年〜5年以上FPGAを募集している企業の内情を教えて(派遣ではない)
0309774ワット発電中さん
垢版 |
2019/07/31(水) 00:43:21.11ID:ayJOQY1i
多分転職回数1-3の30代をとろうとして
そんな奴はいない状況で永遠募集しているだけだと思っている
あとは面接をするとFPGAの技術を理解できない?
0310774ワット発電中さん
垢版 |
2019/07/31(水) 04:07:15.75ID:CwypuGqZ
自動運転だなんだかんだでこれからFPGA触れる人は重宝されるとか聞いたんだがほんとか?
0311774ワット発電中さん
垢版 |
2019/07/31(水) 04:16:06.71ID:KzwZzsk1
彼らにとってAIなら要件、仕様から丸投げしていいという理解なのだ。AIがすべてやってくれると。
重宝はされるがそんな案件受ける技術者は相当な馬鹿か詐欺師だろう。
0312774ワット発電中さん
垢版 |
2019/07/31(水) 06:08:55.71ID:sPYXuf3K
いまだにAIがなんでもやってくれるとか思ってる
ゴミ企業に行こうなんて奴もなかなかいないだろう
0314774ワット発電中さん
垢版 |
2019/07/31(水) 07:01:55.40ID:+ipXh9Fx
新しいものがなんにでも使えるかもしれない、という意気込み・思い込みのリーダーが
いるところがいろいろなものにトライして、本当に使えるところを開拓したり深化させたり、
基本技術を押さえていくんだよな。

たいていの成功は犠牲をともなって、死屍累々なんだけど。
0316774ワット発電中さん
垢版 |
2019/07/31(水) 11:46:04.92ID:eLN9NK4e
車載でFPGA使うかな?使ったとしても、制御系じゃなくて、IT連携の部分でしょ?

SRAMでLogic記憶くしてるFPGAだとソフトエラーが怖くてFPGAの多重化でもしない限りは
怖くて車載には使えんよ。
0317774ワット発電中さん
垢版 |
2019/07/31(水) 11:48:39.04ID:eLN9NK4e
>>304

富士通ファブレスに今頃気が付くとか遅すぎ

でも大丈夫。おっきいサーバー系の設計/インプリはF本体がやってる。
工場だけアウトソース。どこかは言わない。
0320774ワット発電中さん
垢版 |
2019/07/31(水) 17:41:17.59ID:eLN9NK4e
>>318

それはマイコンの内部解析したら、
・SRAMの面積が小さめ
・各処理の多重化
・誤り符号訂正
とかでソフトエラー対策してあるんだよ。

プレーンのFPGAとマイコンを同じ土俵で比べたらいかん。
0322774ワット発電中さん
垢版 |
2019/07/31(水) 18:33:33.24ID:eLN9NK4e
>>321

ほんとうにFPGAの事わかってる発言じゃないよね。

FPGAの場合はFF-FF間のLUTに使われている論理テーブルの
SRAMの1bitがソフトエラーでヤラレタダケデエラーになるのに。
0324774ワット発電中さん
垢版 |
2019/07/31(水) 20:30:11.63ID:vzeom6QD
>>322
本当にそうなのか? SRAM じゃなくて FF じゃないの?しかも超低密度とか言ってるメーカもあるぞ。
0326774ワット発電中さん
垢版 |
2019/07/31(水) 22:21:29.26ID:6oJ/EFqS
確かECUでもFPGAは使われてたと思う。
具体的にどれくらい使われてるかまではわからんけど。
0329774ワット発電中さん
垢版 |
2019/07/31(水) 22:30:39.34ID:/yCLyQOt
>>327
確率の問題なのに1ビットでエラーになると騒いでるお前の方が頭悪いぞ。
0330774ワット発電中さん
垢版 |
2019/08/01(木) 08:24:10.05ID:a8WlX2dn
AIのために車載でGPU載せようとかメーカーが本気で取り組んでて、NVIDIAが車載向けGPUを出してる時代だぞ
0332774ワット発電中さん
垢版 |
2019/08/01(木) 09:43:18.72ID:t1P5Mlj7
最近の車はいらん機能が多すぎ。そんなに安全確認や運転が面倒ならタクシー乗れよ。
0334774ワット発電中さん
垢版 |
2019/08/01(木) 11:09:27.07ID:VS4NZIqS
お前ら多分論理設計しかした事無いんで
FPGAの構造とマイコンやGPUの構造の違いや
対ソフトエラー対策でどう言う回路的な仕掛けを入れるとかって
わかってないんだな
0337774ワット発電中さん
垢版 |
2019/08/01(木) 11:47:29.25ID:AvYX/+qJ
>>336
タクシーは配車を断られる時間があるし、天気が悪かったりして需要が増えるとなかなか来ない
それがクリアされるなら自動運転じゃなくてタクシーでいいよ
0338774ワット発電中さん
垢版 |
2019/08/01(木) 12:10:23.00ID:Z4HPfdkI
自動運転での配車だと
需要が薄い時間帯、地域はAIによって容赦なく切り捨てられる悪寒!
0339774ワット発電中さん
垢版 |
2019/08/01(木) 15:30:33.39ID:7npUlHfV
インテルもザイリンクスもFPGAを使ったADASのソリューションは展開してたはず。どれぐらい採用されてるか知らんけど。
0342774ワット発電中さん
垢版 |
2019/08/02(金) 08:38:43.63ID:QaIMqw/H
あ、ごめん。レベル5(完全自動運転)だね。
いつぐらいに実現するんだろ。
0344774ワット発電中さん
垢版 |
2019/08/02(金) 10:06:18.65ID:Ndd3L1jQ
何日か前にフランスで話題になってた
載るだけでどこでも行ける台が欲しい
ドーバー海峡かどっかで不時着というか着水しちゃったみたいだけど
0348774ワット発電中さん
垢版 |
2019/08/02(金) 17:33:13.18ID:kya1X0so
>>347
>332 名前:774ワット発電中さん[sage] 投稿日:2019/08/01(木) 09:43:18.72 ID:t1P5Mlj7 [1/2]
>最近の車はいらん機能が多すぎ。そんなに安全確認や運転が面倒ならタクシー乗れよ。
楽をしたいならタクシーを使えということから話が始まってる
つまり、自部の所有する自動運転車で楽をするくらいならタクシーを使えということ
お前が言ってるのは自動運転で動くタクシーを使うということ
バカならしょうがないが、どうせ話を引っ掻き回そうとしてバカのふりをしてるんだろ?
0349774ワット発電中さん
垢版 |
2019/08/02(金) 21:11:22.69ID:53pcTLOX
 米ザイリンクス(Xilinx)は、同社の車載FPGA事業の最新状況について「オートモーティブ ワールド2019」(2019年1月16〜18日、東京ビッグサイト)で説明した。
すでに採用実績は多く、2014年には14社(29車種)が採用したが、2018年には29社(111車種)に拡大した。
最近では、人工知能(AI)を使ったドイツ・ダイムラー(Daimler)のインフォテインメントシステム「MBUX(Mercedes-Benz User Experience)」や、
ドイツZFの車載AIコンピューター「ProAI」で採用が決まったという。

https://tech.nikkeibp.co.jp/atcl/nxt/column/18/00001/01589/
0350774ワット発電中さん
垢版 |
2019/08/03(土) 08:36:16.07ID:YZh1RFhM
クルマなら消費電力気にしないしコスト見合えばFPGAでいいかもな。
ISO262626とか大丈夫なん?
0354774ワット発電中さん
垢版 |
2019/08/04(日) 00:54:59.32ID:zLe7ttpX
技術板でリアル知ったかはめずらしいな。自分で言い出しといて何も答えないとは。
0355774ワット発電中さん
垢版 |
2019/08/04(日) 04:02:23.47ID:FGbuLaIH
FPGAで試作するだろ
あるいは小ロットの場合はFPGAを使う
量産段階になるとGAかフルカスタムで原価下げでFPGAはお役御免
自動運転必要なデータ収集と新しい学習データを反映しての開発段階ではFPGA大活躍
FPGAの構造と価格を知りたいなら各メーカの資料で基礎から勉強して
その前にゲートアレイの構造と製造法見た方がいいか
0356774ワット発電中さん
垢版 |
2019/08/04(日) 06:50:02.41ID:HUl9wz7R
>>355
そうして、ルネサスは常に3年前の自動運転を供給して市場撤退 FPGA売り上げゲットウマー
0357774ワット発電中さん
垢版 |
2019/08/04(日) 09:14:48.40ID:gKy66J18
>>355
「FPGAはソフトエラーに弱い構造である」という話ではなかったか?
そんな資料見たところで分からんぞ。
0359774ワット発電中さん
垢版 |
2019/08/04(日) 15:49:50.11ID:fTHu+4ZX
最近は試作でFPGA、量産もそのままFPGAってケースもあるらしいがね。
0361774ワット発電中さん
垢版 |
2019/08/04(日) 17:37:44.02ID:fl9/HEzy
運転支援システムの開発、ASICにする? FPGAにする?
https://monoist.atmarkit.co.jp/mn/spv/1512/15/news034.html

>ザイリンクスはホンダ、Ford Motor(フォード)、Audi(アウディ)、マツダなど日米欧の自動車メーカーでFPGAの採用を増やしている。

>ASICやASSP、マイコンではダメなのか
>14/16nm世代を使うと開発費の10倍の売り上げが必要になる程、投資回収が難しい
0362774ワット発電中さん
垢版 |
2019/08/04(日) 17:42:51.70ID:23QO9pr6
仕組み知らずに書いてるような記事だな
0363774ワット発電中さん
垢版 |
2019/08/04(日) 18:24:31.62ID:5SMhODem
>>361

その記事のベンチ表って、何も知らない人を騙す資料になってて
実際には、ソフトエラー・対放射線・ノイズによる、LUTデータ化けなんかのfpgaの弱点
語らないで書いてるからちょっとフェアじゃない。

けど、fpgaにするにしてもエラー補正と冗長設計でなんとか弱点を克服するんだろうけど
その分のリソースおーば^ヘッド考えたら、結構高いもんにつくよね。

結局、第一世代製品、第二世代製品ぐらいまでFPGAで起こして、
第三世代製品でASIC化とかになりそうな悪寒。
0366774ワット発電中さん
垢版 |
2019/08/04(日) 19:42:58.73ID:HUl9wz7R
>>363
発想がルネサス社員だけど、FPGAでどう設計していいかわからないんだろwww
笑える
0367774ワット発電中さん
垢版 |
2019/08/04(日) 19:45:01.76ID:HUl9wz7R
まぁディープラーニングでも勉強してこいよ
無理だろうけど
0368774ワット発電中さん
垢版 |
2019/08/04(日) 19:58:47.21ID:tiEeoe8y
FPGAなんか使えても何もうまみないし(震え声)
ソフトの方が簡単に儲かるし(泣き声)
0373774ワット発電中さん
垢版 |
2019/08/05(月) 03:48:50.44ID:016mh+fL
ソフトエラーどうのこうのって話はプロセスが微細で大規模回路なら当然増えるんじゃないの
FPGAで実現した回路をGAで作ればプロセスも前時代で電圧も上げられてシリコンの面積も小さくできる
0374774ワット発電中さん
垢版 |
2019/08/05(月) 04:10:00.75ID:ziSq5UOY
>>371
4年くらいFPGAやってたけど、いまだマイコンの気持ちなんてわからん
どんな条件をクリアすればマイコンの気持ちがわかるのよ?
0376774ワット発電中さん
垢版 |
2019/08/05(月) 11:49:00.44ID:8xhRVfqH
>>373
その程度の話なら、さすがに怒っちゃうよ。
なんかあるんだろ、はよ語れ。
0378774ワット発電中さん
垢版 |
2019/08/05(月) 19:18:50.53ID:c0C72EnO
ASICじゃいかんかったんか。
数が出る見込みがなかったのか、仕様を固めきれなかったのか。
0379774ワット発電中さん
垢版 |
2019/08/05(月) 19:49:12.20ID:P5s/Ybi7
ASICの設計は技術が必要だからな。
設計っつうか検証だけど。
0380774ワット発電中さん
垢版 |
2019/08/05(月) 20:12:28.49ID:wsWM9OHL
>>377
これ作れるな
オーバーサンプルでデシメーションしてSN稼げばいいんしょ
0381774ワット発電中さん
垢版 |
2019/08/05(月) 20:30:12.21ID:HIVvh+o0
できるできると言うだけで何もしない奴は何もできないのと同じだ
0394774ワット発電中さん
垢版 |
2019/08/06(火) 22:18:39.03ID:eGq01GDi
PCIeインターフェースが付いたFPGAボードなんて昔っからあるのに
0395774ワット発電中さん
垢版 |
2019/08/06(火) 23:02:56.96ID:wjK7m8Tb
いつか1万円くらいで買えるようになると嬉しいんだけどな、ライセンス込みで
0398774ワット発電中さん
垢版 |
2019/08/07(水) 07:11:36.30ID:S6Go7B+2
黒い筐体からはみ出してる緑のレジストがすごくダサいな
レジストも黒にするとかすればよかったのに
0400774ワット発電中さん
垢版 |
2019/08/07(水) 11:31:07.97ID:IXj3ArK9
あんな物一体誰が買うのかと思うんだけど
いろんな所から出てるよね、LED
0401774ワット発電中さん
垢版 |
2019/08/07(水) 19:00:33.18ID:krur1d3h
バカほど光物が好き
0403774ワット発電中さん
垢版 |
2019/08/07(水) 22:30:13.93ID:S6Go7B+2
NECのゲーミングPC「プロジェクト炎神」
2019年8月5日
https://game.watch.impress.co.jp/docs/kikaku/1200240.html

>そして個人的に気になっているのが「NECのゲーミングPCは光るのか」。
>意見を求めたところ、すでに調査済みで6割のユーザーは「光らなくても買う」という結果を得ているという。


つまり4割のユーザーは光らないPCは買わないという
0408774ワット発電中さん
垢版 |
2019/08/09(金) 10:30:00.71ID:LVKJLwMR
にこにこもFPGAかASICか作るって言ってた気がするが
その後の話を聴かないな
0409774ワット発電中さん
垢版 |
2019/08/11(日) 00:37:56.08ID:648nTUgD
ニコニコの装置(サーバ?)にはソシオのチップ使ってるのあるよ。
0413774ワット発電中さん
垢版 |
2019/08/15(木) 15:44:01.13ID:pV/rv6F9
株式会社クイック 経由でFPGAの転職を探してはならない。
0414774ワット発電中さん
垢版 |
2019/08/21(水) 03:17:39.90ID:yBCRfje2
株式会社クイック 経由でFPGAの転職を探すことが望ましい。
0415774ワット発電中さん
垢版 |
2019/08/21(水) 03:21:24.35ID:SY49dRp0
円天職
0416774ワット発電中さん
垢版 |
2019/08/23(金) 01:16:25.88ID:1Rlbz1lY
ニュース
2019年8月22日

16nmの最新FPGA:
900万個のロジックセルを搭載、Xilinxの「Virtex VU19P」

Xilinxは2019年8月21日(米国時間)、16nmプロセスを用いたハイエンドFPGAファミリ「Virtex UltraScale+」として、900万個のロジックセルを搭載した「Virtex UltraScale+ VU19P(以下、VU19P)」を発表した。

https://eetimes.jp/ee/spv/1908/22/news082.html
0421774ワット発電中さん
垢版 |
2019/08/26(月) 15:16:35.44ID:7k3svuVo
エミュとかで試せないの
0423774ワット発電中さん
垢版 |
2019/08/27(火) 14:23:50.42ID:s8hs+bje
評価ボードで遊ぶことはできても、評価ボードを作る知識が無いのが悲しい
0425774ワット発電中さん
垢版 |
2019/08/27(火) 21:50:28.18ID:s8hs+bje
ソフト屋から入ったから、ハードありきでしか開発できないんよ。
データシートもろくすっぽ読めないし、今から勉強しようにもどこから手を付ければいいのか分からん。
0426774ワット発電中さん
垢版 |
2019/08/27(火) 21:55:31.18ID:ji+FzW/z
>>425
ソフト屋でもハードを作る際に必要なものを指示してくれると助かる。
CPLDなんかはどうにでもつなげるけど、FPGAやマイコンになると専用配線の引き出し必要になるし。
0428774ワット発電中さん
垢版 |
2019/08/27(火) 23:09:47.82ID:uGG9QMy8
>>425
上層のアプリを作るならデータシートの存在すら知らなくても問題ないけど
ベアメタルとかドライバとかOSとかやるならデータシートをある程度読めないと何もできないよ

ソフト屋なら定格がいくらとかどんな実験環境でどんな波形が取れるとかいう情報はバッサリ切り落として
ロジック部分だけ読めば十分だけど
0429774ワット発電中さん
垢版 |
2019/08/28(水) 19:25:06.59ID:ceM0Mjc2
ZYBOのボード買ってツールをインストールするところをやっていこう、PCをじっくりいじる暇がないw
ほんと学生の頃でもないとゆっくりできないかんじだね。
或いは本当にプロとして向かうか。
0430774ワット発電中さん
垢版 |
2019/09/06(金) 03:27:38.85ID:UEN/E8YB
Quartus IIでFFT MegaCore functionを使用したいのですが、Generateを実行すると必ず画像の進捗バーの状態で止まってしまいます。
タスクマネージャを見てもCPUの使用率は0%です。
新規プロジェクトでやっても同じようになります。
皆さんはうまく行きますか?
どうすればよいのでしょうか。
https://i.imgur.com/5OSkPlV.png
0432774ワット発電中さん
垢版 |
2019/09/06(金) 13:42:19.92ID:UEN/E8YB
そうなんですか?
どこかにそのようなことは書いてあるのでしょうか。
0434774ワット発電中さん
垢版 |
2019/09/07(土) 22:37:24.84ID:CN/y6Uhj
画像はチャタリングを除去したボタン押下信号でカウンタを進めてROMのデータを順番に読み出す回路です。
チャタリング除去は、クロック信号で19bitカウンタをカウントしてそれが0になったときだけボタンの信号を取得して出力する回路でやっています。

これに制約の設定をしてみようと思ってクロックやI/Oの制約を設定したのですが、チャタリング除去後の信号をカウンタのCLKピンに入力する部分の配線で未制約になるのですがどうすれば制約できますか?
https://i.imgur.com/ow4VLr1.png
0435774ワット発電中さん
垢版 |
2019/09/08(日) 10:16:13.63ID:aO+0ch28
ロジックの出力をクロックにするのはNG
製品だと品証から出荷ストップされるレベル
0→1の変化を取得してカウントアップする作りにしましょう
0438774ワット発電中さん
垢版 |
2019/09/08(日) 12:31:46.60ID:CDrXkkOc
>>434
create_generated_clock
後はご自分でお調べ下さい。
0440774ワット発電中さん
垢版 |
2019/09/09(月) 00:37:25.46ID:RVm0HVpy
ボタンを押している間だけカウンタがenableになってクロック信号でどんどんカウントされていく回路を作ってSignal Tapで波形を見たいのですが、自分が見たいのはボタンを押した瞬間のものなのですが、負理論で取得されるボタン信号のインバースに立ち上がりトリガを設定してるのにデータ取得を実行するとボタンを押してない間に取得がすべて完了してしまいます。
どうすればボタンを押したときから取得できますか?
https://i.imgur.com/C86Zx2F.png
0447774ワット発電中さん
垢版 |
2019/09/13(金) 05:23:11.63ID:cTKEOE/r
派遣に頼らず、自社の「優秀な人間」で開発し利益出していればいいだろうよ
0448774ワット発電中さん
垢版 |
2019/09/13(金) 06:57:42.69ID:ZYUEdhYG
そういえば、日立ICS 派遣者の前で「優秀な人が来ないから正社員を取る」とか言ってたな。
俺が座らされた席の正社員はソニーセミコンダクターに派遣に行っていたようだが。
0451774ワット発電中さん
垢版 |
2019/09/13(金) 17:14:35.80ID:ZWVQF261
國民は生かさず殺さず
0452774ワット発電中さん
垢版 |
2019/09/14(土) 21:18:51.92ID:w3Hko7fI
>>451

言っとくが、おまえもその「國民」の一人。
例外でなく搾取されまくりだから。お前も。
0453774ワット発電中さん
垢版 |
2019/09/15(日) 00:10:10.81ID:KYnwCqrF
産総研と東大、AI機能付きDASチップの開発加速

〜中略〜

 2つ目は、「AI機能を回路に実装するための基盤研究」である。
AI処理に向けたFPGAアーキテクチャ探索やAI処理に特化したFPGA開発アセットを構築する。
また、医療や工業システムにおける、AIアクセラレーターを活用した画像解析応用など、AI機能を実装するための研究開発を行う。

https://eetimes.jp/ee/spv/1909/09/news019.html
0455774ワット発電中さん
垢版 |
2019/09/15(日) 14:08:25.95ID:VpEGdRPJ
東芝、ミリ秒オーダーで大規模な「組み合わせ最適化問題」を計算する技術を2019年中に適用実験 金融分野などに期待
ITmedia NEWS2019年9月13日 16:51


東芝は9月11日、組み合わせ最適化問題を大規模かつ高速に解ける「シミュレーテッド分岐アルゴリズム」(SB)を、FPGAなどの専用回路に実装する設計技術を発表した。
同技術でSBを実装したFPGAでは、入力から出力を返すまでにかかる時間は1ミリ秒未満だという。
実用的な問題を解く実証実験を行い、今年中の成果発表を目指すとしている。


https://www.excite.co.jp/news/article/Itmedia_news_20190913113/
0456774ワット発電中さん
垢版 |
2019/09/15(日) 16:36:59.12ID:dgm6pgQ4
企業の研究は魅力的だなー
もう日本の大学のFPGA関係の研究室はなくなっていい
0457774ワット発電中さん
垢版 |
2019/09/15(日) 18:46:46.52ID:icW+8TXF
院卒にまでFPGAで何か組んだ経験ありますかって求める企業様だぞ。
当然玩具じゃなくて就職に向けての課題だぞ。勘違いしないほうがいい。
0458774ワット発電中さん
垢版 |
2019/09/16(月) 11:15:33.78ID:WbEJsjym
ただ、そっちの方向性で採用された場合、馬車馬のようにRTL書いて終わる人生なんだよな。
どっちがいいんだか・・・。
0459774ワット発電中さん
垢版 |
2019/09/16(月) 15:20:30.40ID:9ZxnxWKT
>>458
もっと効率的にがっぽり働きたいなら、院からエクセルとパワポでマネジメントすればいい。
10人ぐらいのマネジメントをこのように行いました遅れはこの程度で無事期限内に作業を終わらせられました、
って説明出来たら都内の大学から都内大手に行けるんじゃないの?

ただ、技術者のマネージャーとしてひたすら外注やら協力会社相手にエクセル弄る羽目になるけどね。
給料はいいんじゃない? あとは結婚と過労を含め体力だけ気にしてればいいよ。
0460774ワット発電中さん
垢版 |
2019/09/16(月) 15:25:43.07ID:TV/dd1xc
糖尿乙
0461774ワット発電中さん
垢版 |
2019/09/16(月) 16:25:28.02ID:WbEJsjym
>>459

普通に、もともと大手電機6社のどこかに新卒で入った人間なので、
若干認識が違うなーっと思ってしまった。

新卒にそういうスキル求めてないよ。
俺も数回リクルーターやったけど。

ただ、ご記憶にある通り、半導体事業に従事していたので
もう本体からはExitしてる。

そうなると、給料も微妙にシュリンクするんだよね。
あと、大手電機って、そもそもそんなに給料イイんだっけ?って思う。
家賃が高い地域にあることもあって、言うほどブイブイ言えないよ。

よっぽどデンソーあたりに入社して、地方に居て高給もらったほうが
随分と贅沢できるのではないかと思う。
0462774ワット発電中さん
垢版 |
2019/09/16(月) 16:27:43.18ID:WbEJsjym
ごめん。話がおもいっきりずれたwww

RTL人生対、マネジメント人生の話だったね。

マネジメント要員は、スキルだけでは選ばれないような人選だよ。
なので、ちょっと認識ずれてるのは確か。
0464774ワット発電中さん
垢版 |
2019/09/16(月) 16:31:43.71ID:WbEJsjym
今は大手電機7社っていうのか。あとラインアップも少し変わったな。

日立製作所
三菱電機
パナソニック
ソニー
東芝
シャープ
NEC
富士通
0465774ワット発電中さん
垢版 |
2019/09/16(月) 19:38:16.26ID:WbEJsjym
自分が学生の頃は大手電機6社って、NEC・富士通・東芝・沖・日立・三菱だったような気がする。
0466774ワット発電中さん
垢版 |
2019/09/16(月) 19:49:16.77ID:TV/dd1xc
沖もサンヨーも知らんわ
松下やろ
0468774ワット発電中さん
垢版 |
2019/09/17(火) 00:59:48.17ID:1jH4v9Ja
大手電機メーカーは三社だよ
日立
東芝
三菱
これだけ
電気機械製造業ね
0471774ワット発電中さん
垢版 |
2019/09/18(水) 08:12:54.97ID:A4t3J0z3
ルネサスリストラされて、FPGAの仕事すらもらえなく、電気会社の宣伝とは情けない
0472774ワット発電中さん
垢版 |
2019/09/18(水) 18:57:35.03ID:HQqSUuo9
>>471

電機と電気

半導体業界と電機業界のかかわり

について知識が足りてないな。

まずは組織の成り立ちから勉強しなさい。赤点。
0473774ワット発電中さん
垢版 |
2019/09/18(水) 19:15:22.64ID:nHZyoT30
知ってるなら教えてやらいいのに

まぁ、中途半端な知識をひけらかしてほかの奴に突っ込まれるリスクを考えたら
自分では説明しないで偉ぶった方が頭がいいか
0474774ワット発電中さん
垢版 |
2019/09/18(水) 19:52:08.69ID:A4t3J0z3
>>473
常に上から目線で優位に立つことしか考えないからどうやら図星らしい
パワポばかりで、請負とか派遣にやらせてたから、HDLは書けないので当然FPGAの話は出来ないからw
0475774ワット発電中さん
垢版 |
2019/09/18(水) 19:57:52.13ID:HQqSUuo9
>>474

>パワポばかり

どっちもどっちだな。
仕事って上流に食い込まないとガッポリ稼げないようになっているけど、
実際の実設計もできないと舐められるってのも事実だからな。

自分みたく、
・フルマニュアルベースのデジアナ混載チップ
・ハードマクロ・ソフトマクロリリース
・プロマネも少し

って広く浅くやってきた人なんて少数派かもしれんね。
勝手にルネ社員にされて、リストラ済みとか言われたけど、
やっぱあれかね?ルネはASSPやマイコンが強いから名が通るのかね?
他にも旧大手電機の流れをくむベンダーはたくさんあるけど、
そういうのは疑わないの?
0476774ワット発電中さん
垢版 |
2019/09/18(水) 20:03:31.93ID:4vOu1i0B
>>469
日経の基準なんかアテになるかよ
電球から原子炉まで、これが出来るのが
日立、東芝、三菱だけ
まあ原子炉は問題多過ぎて撤退したようだが
火力発電や水力発電所の大型発電機、重工業用の特殊モーターや制御装置までとしときましょうか
もちろん家電もコンピュータもね
要するに重電出来るか否かが判定基準
出来るところは総合電機メーカーとも言う
ソニーやパナは弱電家電なので論外
NECはNTTの御用聞きの電話交換機メーカーがパソコンで育っただけで論外でリストラしまくりでオワコン
富士通は通信機器からのコンピュータ関連で論外
シャープに至っては電卓屋出身で論外
工業用の機械を製造し大々的に販売してない会社が電機を名乗れるかよ
電気器具製造業ならまだしも
電気機械器具製造業の従業員1万人以上の大会社で検証してみ
0477774ワット発電中さん
垢版 |
2019/09/18(水) 20:11:07.53ID:4vOu1i0B
>>475
>広く浅く
別の言い方をすると
どれもこれもかじっただけでプロではない
最も求められない状況
現在稼げるのは一つか二つのジャンルを世界最先端のレベルでディープに追求し、規格制定に携われるレベルの人だけだな
そのレベルでもリーマンなら2000万手取りが最高レベルだが、日本では
日本以外なら倍貰える
中小企業の下請けとかなら、広く浅くが向いてるかもね
だって人手不足で一人で5役とかなんでしょう?
中小企業の役員クラスなら手取り800とかですかね
知らんけど
0478774ワット発電中さん
垢版 |
2019/09/18(水) 20:19:42.22ID:HQqSUuo9
>477

おまえの目節穴だな。

広く浅くは厳密にはプロじゃないけど、日本の社会ではそういう人がゼネラリストになってゆく。
逆に素人目に技術面でのみのプロは、労働集約型の労働環境で搾取されるのみ。(こと規模は問わず、派遣や中途はこの手)

なので、割と大手に居ると、「なんでこんなプロがこんな薄給で働いてるんだ」「プロって何のために居るの?」ってなるんだよ。
そんなことも知らない段階で厨房レベル確定。 不合格。
0479774ワット発電中さん
垢版 |
2019/09/18(水) 20:24:22.76ID:HQqSUuo9
あと俺の目には>>476はちょっと論点がずれてるように思う。
大手電機6社(現在は8社か)の定義は、世間一般が決めることだから、
結局のところ。絶対基準がないので、世間で注目されている業績の企業って括りでピックアップされるから、
日経なんかが取り上げる数社が大手電機の定義でいいと思うよ。
0480774ワット発電中さん
垢版 |
2019/09/18(水) 20:30:38.44ID:4vOu1i0B
>>478
ふーん
別に他人の給料や状況はどうでもいいや
自分の手取りだけが本当の問題なので
業界の知識とか必要ないなー
ゼネラリスト?
それで稼げるならご自由にどうぞどうぞ
稼げなくて愚痴こぼすなら嫁にでも言えば?
0481774ワット発電中さん
垢版 |
2019/09/18(水) 20:34:42.12ID:HQqSUuo9
ゼネラリストってなにか知らないんだなwww

会社の社長さんとか最低でも部長職以上のことだよ。
そういう人の給与のほうが一般社員より稼いでるのは自明だよねぇ?w
0482774ワット発電中さん
垢版 |
2019/09/18(水) 20:37:34.21ID:HQqSUuo9
で、あんたの言うような、業界標準を策定するような要員は、
せいぜいで主任で人生終了。
課長にもなれないでリーマン人生終了。

そういう理不尽な世界なんだって、日本は。
0483774ワット発電中さん
垢版 |
2019/09/18(水) 20:42:03.86ID:4vOu1i0B
>>479
世間が決める、ってのは間違いだな
今じゃどうか知らんが、
ベースアップやボーナス額の発表や基準は、最大手の日立が決める
それに並ぶか一段落とすかは東芝三菱が決める
その他は三社の動向を見て一段か二段落とす
これが伝統的な流れ
世間が認識してるのはここまで
今じゃソニーパナの方が稼ぎがいいかもね
兆円単位で原発の損きりしたから東芝とかボロボロだし
大手電機メーカーってのが理系就職人気ランキング上位って意味ならソニーパナは入っていいいよ
ソニー銀行とかソニー損保がどうなるか知らんがな
厳密には旧通産省が決めたことなので、日経とか世間とかが決めることじゃないね
日本の経済活動上優位に立てるようにあえて3社に集約したわけ
自動車製造会社の数が制約されてるのと同じ理由だね
0484774ワット発電中さん
垢版 |
2019/09/18(水) 20:46:30.55ID:4vOu1i0B
>>481
>そういう人の給与のほうが一般社員より稼いでるのは自明だよねぇ?w
雇われ社長よりも給与が多い人はいっぱいいるがな
そんな簡単なこともわからないの?
給与が低い一般社員にはお知らせすると軋轢を生むかもね
ごく最近では日本でも一部の会社がスペシャリストに高報酬を出してると報道され始めたが、昔からだよ
0486774ワット発電中さん
垢版 |
2019/09/18(水) 20:50:00.78ID:4vOu1i0B
>>482
日本って落ちぶれたな
ほんとにそう思うわ
なぜそんなボロにしがみついてるのか不思議
誰もかれもが西海岸に行きたくてしょうがない、行ったらどうするって話ばっかの雰囲気あったのにね
まあ、行けなかった落ちこぼれが騒いでるだけか
0487774ワット発電中さん
垢版 |
2019/09/18(水) 20:50:49.38ID:HQqSUuo9
おまえ、本当に中二病だな・・・。

「どこが?」と思うなら、そのお前の書いた文章をお前がよく知る人に見せてどう思うか問うてごらん。同じこと指摘されるから。

>厳密には旧通産省が決めたことなので、日経とか世間とかが決めることじゃないね
>日本の経済活動上優位に立てるようにあえて3社に集約したわけ
>自動車製造会社の数が制約されてるのと同じ理由だね

大手電機6社時代の通産省による編成と補助金交付の話を、今の時代に同じように大手電機8社に適用して語るのはあほだろ。
0488774ワット発電中さん
垢版 |
2019/09/18(水) 20:52:35.71ID:4vOu1i0B
>>473
>知ってるなら教えてやらいいのに

>まぁ、中途半端な知識をひけらかしてほかの奴に突っ込まれるリスクを考えたら
>自分では説明しないで偉ぶった方が頭がいいか

純日本的思考の方はそうだろう、リスク管理も出来ないと自白
で?
頭がどうこうって同調圧力かけて正論をブッコワス?
美しい日本がこれ
0489774ワット発電中さん
垢版 |
2019/09/18(水) 20:57:05.82ID:HQqSUuo9
>>486

自分も正直言って分からなくなってきてる。

自分はゼネラル候補だったけど、技術者志望だったので、
我を貫いたら、なんだか微妙な立ち位置になっていた。。。。

普通に設計や管理の意味で仕事に困ることはないが、これといった「顔」がない。

ただ、食べるに困らない給与を貰い、そこそこ楽しい職場に恵まれたことだけを
満足の材料にするんだろうなって思ってるよ。

自分とは別に本当に社長になりそうな勢いで上り詰めてるサルがいるんだが、
そのサルはデザインハウスにサインオフ条件の指示を誤り、
某品種で大損害を出した。DRの場では非難の荒らしだが、
役員に守られて、お咎め一切なし。

もうやってられません。
0491774ワット発電中さん
垢版 |
2019/09/18(水) 21:02:44.31ID:HQqSUuo9
「バカの壁」とか借り物の言葉で罵倒する稚拙さが命取り。

自分の言葉では正しいことが何一つ主張できない人なんでしょうね。
0492774ワット発電中さん
垢版 |
2019/09/18(水) 21:12:36.28ID:4vOu1i0B
>>489
結局は会社員なら、会社にもたらす利益の総額
大失敗大損失でもトータルで大利益なら昇進
失敗ゼロでもトータルで小利益なら昇進はない
もしもトータルで大損失奴をかばってるならその会社は潰れるから転職おすすめ
0493774ワット発電中さん
垢版 |
2019/09/18(水) 21:14:06.34ID:4vOu1i0B
>>491
それがまさにバカの壁
説得するだけ無駄
だから、わかる人だけレスください
わからない、わからないといくら主張されても説明義務はないので
0494774ワット発電中さん
垢版 |
2019/09/18(水) 21:15:43.96ID:HQqSUuo9
>>492

本当に中二だなwww

世間は結局好き嫌いのレベルの官能評価だよwww
それ以上は考えてないwww
じゃないとあのサルの失敗はリカバリーできる金額じゃないんだwww

少なくとも俺の勤務先では。あんたの勤務先だと>492のような事なんだろうと思うけど。でもそれって本当ならかなり恵まれているように思えるな。(笑)
0495774ワット発電中さん
垢版 |
2019/09/18(水) 23:09:05.32ID:4vOu1i0B
>>494
その会社マジでヤバイよ
某東芝の子会社で吸収合併の成り上がりで直下の子会社になったのがあって、
そこの統括責任者が古い飲み友達だったけど、
今日ン億円の赤伝切ったわ、ガッハッハ的なのを趣味の集まりの笑い話で持ち出して来て、コイツこんなにヤバかったかな?一人のエンジニアとしてはアベレージを超えてたとは思ったが
ってのを最後に本社ごとめっちゃくちゃになった
金勘定もマネージメントも出来ないエンジニアに管理させる程の人材不足になったら、逃げ時だよ
退職金満額貰えるうちにさ
家のローンとかどうなったんだろうか、音沙汰ないけど
んで、そのサルはさ単に気分次第で上に気に入られて、馬車馬してる肉体労働バカなんだろ?
手綱を持つ軍師がいなきゃ、暴走しっぱなしじゃないの
まあ、体育会系の押しが強い猛将も使い道があるが、所詮は馬なんだよなぁ
誰かが運転しなきゃ崖から飛び降りるな
0496774ワット発電中さん
垢版 |
2019/09/18(水) 23:23:46.91ID:4vOu1i0B
もう一個思い出したけど
時価総額3000億円の会社なんだぜ!と目の前で言い放ったオーナー社長がいて
数年後に株価0になった上に逮捕されてたのもいたわ
時価総額兆円未満ならウエットな人間関係でも経営できるけど、兆円越えしてるのはことごとくウエットな創業連中をばっさり切って、人間を将棋の駒の如くドライに動かして、捨て駒をどんな状況でも正確に切れる奴だけだな
管理対象が300人までならギリギリ顔と名前を一致させて個性を発揮させてやれるけど、1000人オーバーなら数字で管理した方が楽だし、それ以外の方法はないね
個人的な感想で申し訳ないけど、もう数字しか見てないわ
0499774ワット発電中さん
垢版 |
2019/09/19(木) 17:40:36.27ID:Xnjg0mVd
CEATEC 2019事前情報:電池駆動も可能な超低消費電力AI、Latticeが展示 - EE Times Japan
https://eetimes.jp/ee/spv/1909/18/news028.html


ラティスセミコンダクターは、電池駆動の実現も可能な低消費電力、オンデバイスのAIソリューションやハードウェアルートオブトラスト(RoT)を実現するための組み込みセキュリティブロックが搭載されたFPGA、
フレキシブルなビデオコネクティビティのためのMIPI D-PHYハードIPを搭載したFPGAに注力。同社のFPGA製品であるECP5、CrossLink、MachXO3D、iCE40 UltraPlusのそれぞれについて、「特徴を最大限活用したデモを展示する」としている。
0500774ワット発電中さん
垢版 |
2019/09/19(木) 17:59:12.27ID:4IcCMwUi
分かる人いたら教えてください。
XILINXのVivadoでFPGA回路作ってるんだけど
コンフィグ保存用のSPI Flashはサポートが明記されているモノ以外は
認識しないですかね?

適当にピンコンパチのSPI Flash使ったら
Unable to read device properties.
Please make sure that the proper configuration memory part is selected.
と言われて書き込みできませんでした。

Vivado上でメモリパーツを選ぶ際に選択肢になかったので
メモリサイズが同じ別のデバイスを選択しました。
Vivado側からメモリデバイスをチェックしているということなんでしょうか?
0501774ワット発電中さん
垢版 |
2019/09/19(木) 18:10:45.22ID:afO3bf+X
プロトコルが互換なら大丈夫でしょ
そもそもどうやって違うって認識するのさ
0502774ワット発電中さん
垢版 |
2019/09/19(木) 19:20:29.98ID:reGYDuNg
SPI FlashのIDを読んで認識するので、
騙すバッチを書く裏道がある



しらんけど
0503774ワット発電中さん
垢版 |
2019/09/19(木) 19:22:47.24ID:DK10YOOy
使えるのと使えないのがある
たまたま使えたのかもしれないけど深くは考えなかった
サポート対象品を購入して解決おすすめ
万一動かない場合があると地獄なので
0504774ワット発電中さん
垢版 |
2019/09/19(木) 19:59:04.28ID:Cp3ud8a5
皆さんありがとう!

今回初めてなので
どこに問題があるか中々切り分けられなくて。

SPI通信用の四本のうちFPGAからの出力三本は
クロックを含めそれなりに波形が出ていたので
フラッシュの相性ってあるのかなと
疑問に思ったんです
ID見てチェックしてるのなら
認識できないフラッシュがあるのも納得できました

ちなみになんですけど
うまくフラッシュに書き込めた場合は
次回からパソコン使わずに基板の電源投入だけで
いきなり動き出すようになるんですよね?
0507774ワット発電中さん
垢版 |
2019/09/19(木) 21:44:22.55ID:RgwHl9li
>次回からパソコン使わずに基板の電源投入だけで
>いきなり動き出すようになるんですよね?

そんな初心者なのに、なぜサポートされていないflashを使うようなことを……
冒険者だな

回路とか間違ってなければFPGAが読み込んでくれるはず
0510774ワット発電中さん
垢版 |
2019/09/20(金) 01:03:55.56ID:lEnsFrzY
コンフィグ時はid見ないけど、vivadoからの書き込み時はチェックされる

ISEと違って誤魔化せない
0511774ワット発電中さん
垢版 |
2019/09/20(金) 01:42:33.34ID:e7LRKdeI
一度の積和演算を数サイクルに分解して演算器を使い回しするにはHDLでどう書けばいいでしょうか?
0513774ワット発電中さん
垢版 |
2019/09/20(金) 02:49:44.82ID:e7LRKdeI
例えば1クロックでx=a*b+c*d+e*fのように演算させるところを掛け算器一個だけで3クロック使って演算させたいです。
コンパイラはvivadoを使っています。
0514774ワット発電中さん
垢版 |
2019/09/20(金) 03:34:18.65ID:FTHfOXc5
こんな感じ?

module top(input clk, output [31:0] x); // x = 2 * 3 + 4 * 5 + 6 * 7
wire [31:0] x;
reg [2:0] s = 3'b001;
reg [31:0] a;
reg [31:0] b;
always @(posedge clk) s <= {s[1:0], s[2]};
always @* begin
case (s)
4'b001: {a, b} <= {32'd2, 32'd3};
4'b010: {a, b} <= {32'd4, 32'd5};
4'b100: {a, b} <= {32'd6, 32'd7};
endcase
end
addmul addmul_i(clk, a, b, x);
endmodule

module addmul(input clk, input [31:0] a, input [31:0] b, output reg [31:0] x);
initial x <= 0;
always @(posedge clk) x <= x + a * b;
endmodule
0515500
垢版 |
2019/09/20(金) 06:21:12.37ID:WXu8L0BW
>507
ホントですよね……
つい手元にあったもので試してみちゃいました……

>505,506
回路はUGまんま参考にしました
SPI x1 で接続なので、VivadoではSPI x1を選択、
FPGAのmode端子もSPIモード指定してます。
FPGA自体にはプログラムできて、動きました(JTAG only モード)
flashへの書き込みだけがうまく行ってないです

>507,510
参考になります!
ありがとうございます!

まずはちゃんとサポートされてるflashに替えて試してみます!
0516774ワット発電中さん
垢版 |
2019/09/20(金) 06:31:39.17ID:9LPEbQCR
フラッシュ壊れてるだけじゃ
0518774ワット発電中さん
垢版 |
2019/09/20(金) 08:26:22.50ID:9LPEbQCR
>>513
>例えば1クロックでx=a*b+c*d+e*fのように演算させるところを掛け算器一個だけで3クロック使って演算させたいです。
>コンパイラはvivadoを使っています。

これ新人君で先輩から課題を与えられて、考えることが出来ないと予想しましたが如何でしょうか
0519774ワット発電中さん
垢版 |
2019/09/20(金) 11:28:54.63ID:+GcNTj9b
>>516
FPGAが出力するデータ信号に対して
SPIから応答(何らかのシリアルコード?)があるので
動いていると思います。
0520774ワット発電中さん
垢版 |
2019/09/20(金) 18:43:05.06ID:BhNxKRUi
>>518
初心者にはちょうどいい難易度ですよね
私は学生さんの宿題だと思いました
0522774ワット発電中さん
垢版 |
2019/09/20(金) 22:15:01.89ID:S7S2XRUC
確かISEの時はwindowsの環境変数にIDチェックを
スキップする変数を書けばできた

vivadoでもあるのかな?
もしダメなら代理店に相談すれば
色々と教えてくれるよ
0524774ワット発電中さん
垢版 |
2019/09/21(土) 03:42:29.20ID:CZ4G2qEn
インテルがアル寺を買収したかった最終目的のはコレ?
もうQuartusがしょぼすぎてXiに乗り換えちゃったけどさ
0525774ワット発電中さん
垢版 |
2019/09/21(土) 05:19:06.01ID:FpIEYZ5F
いんや
Intelは100Gbit/sや400Gbit/sのLANをCPUに統合する際にTCP/IPオフローディングをFPGAで狙ってる
規格がどう転んでも真っ先に対応するたにFPGAとの自由な統合が必要
そして世界初のリードを保てるうちはいくらでも儲かる
の予定だったがFabがなー
セキュリティホールでCPUがなー
AMDがXiとくっついたら面白いが、ファブレスとくっつく意味が薄いのも事実
0526774ワット発電中さん
垢版 |
2019/09/21(土) 05:28:37.94ID:FpIEYZ5F
>>513
ここは学生の宿題に答えるスレじゃないし、課題は自分自身の独力で解かなきゃ何の意味もないよ
単位だけが欲しいなら、外注すれば?
仕事頼めるサイトあるじゃんか
それを使ってインド人にでも頼め
はっきり言って、こんな所で初歩の初歩を教えてもらって育ったような人材はどこにも活躍の場はない
30分本読むなりネットで調べるなりして
15分で書いて
15分でテストベクター作れる程度の頭が無いなら、不向きとしか言いようが無い
数学が苦手な奴が保険会社に入って、保険料の算定を任されたとして、何ができるんですか?ってレベルで合ってない
0527774ワット発電中さん
垢版 |
2019/09/21(土) 07:06:04.69ID:sRg7wqGi
>>526
専用ソフトにパラメータ入れたら金額が出るよ
君が手計算でシミュレーションしないのと同じだね
0528774ワット発電中さん
垢版 |
2019/09/21(土) 08:11:17.48ID:M79TnONa
たとえ話はある程度同じ認識をもつ人間同士でしか成立しないから人を諭すときには不向き
0529774ワット発電中さん
垢版 |
2019/09/21(土) 09:34:47.20ID:tUaSTEPm
ム板とか電磁気学では宿題よく見るけど
FPGAとかで宿題依頼観たのは初めてな気がする
0530774ワット発電中さん
垢版 |
2019/09/21(土) 10:39:32.25ID:HNm7PNSl
>>525
こけてxilinxに負けて、intel事業潰してaltera無くなりそうな未来まで見えてます
0531774ワット発電中さん
垢版 |
2019/09/21(土) 14:40:48.48ID:RA8e93u/
>>524
デバイスの性能云々以前にツールがダメダメでデバイスを使えないという
なんかIntelに買収されてから微妙になってきた気がする
0532774ワット発電中さん
垢版 |
2019/09/21(土) 16:15:49.80ID:2tUV7FKn
今後、CPUだけで自社Fabを維持できないのが見えてたからな

Foundry ビジネスでも始めるつもりで手に入れてはみたが
当のプロセスが失敗続きでメロメロ状態、本業の自社CPU供給すら不自由する始末

あとは皆さんご承知の通り
0534774ワット発電中さん
垢版 |
2019/09/21(土) 16:53:32.58ID:UQo4Fk/4
どっちかというとXIの方がツールはダメじゃね?

まわりもみんなquartusの方が使いやすいと言うが
0535774ワット発電中さん
垢版 |
2019/09/21(土) 19:40:46.26ID:RA8e93u/
使いやすさとかじゃなくて最新デバイスを使うときのバグ
まともにFittingできなくて、ここには書けないが前に色々とあった
今は知らんけど

最新デバイスという面ではXilinxは安定してるね
UltraScaleやUltraScale+がリリースされてすぐ使ったけど、そんなにハマらなかった
0536774ワット発電中さん
垢版 |
2019/09/21(土) 19:48:28.27ID:M79TnONa
vivadoはビビるぐらいgit管理しづらいけど
quartusはどうなん?
0539774ワット発電中さん
垢版 |
2019/09/22(日) 05:59:19.88ID:tVb5aCz+
そもそも一般人には入力となる情報がそんな低遅延では届かない
0540774ワット発電中さん
垢版 |
2019/09/22(日) 10:47:50.29ID:T9xnJX+K
通信先との距離がワンブロック以内の物件を借りられるとは思えない
しかもバックアップとの多数決で正常判定するなら最低3つの別の建物を借りる必要がある
トレーダーの下請けの仕事とか面白くないの確定だろ
種銭も集めなきゃ
0542774ワット発電中さん
垢版 |
2019/09/22(日) 18:58:41.77ID:5TYJ+bLK
CMエンジアリング は 元沖電気工業の半導体部門だって知っているよ
FPGAでソフトウェア無線つくっていたから二次面接までいってる
0544774ワット発電中さん
垢版 |
2019/09/22(日) 19:20:53.15ID:g+yZR7PN
quartusも同じくらいには大変なんじゃないかな?
結構サクサクだったりするの?
0545774ワット発電中さん
垢版 |
2019/09/22(日) 21:16:46.75ID:WxmRmj+7
quartusの方が全然軽いしコンパイルも早い

ただvivadoの方が比較すると機能てんこ盛りで
先進的な取り組みをしている印象
0546774ワット発電中さん
垢版 |
2019/09/22(日) 21:34:52.37ID:9p2JJ/ya
それはそれは…
今後、Alteraへの乗り換えを本気で検討します
0547774ワット発電中さん
垢版 |
2019/09/23(月) 14:26:48.24ID:pS2tfkgi
Alteraはフィッタだけであとは全部他社のお試し版バンドルしてるだけだろ
とくに買収されてからまったく動かなくなった。シミュレータも機能制限のModelsimだけじゃなかった?
SystemVerilogも使えないし。
VivadoはSystemVerilogどころかもっと高位設計だってできる。どの程度使い物になるかは知らんけど。
もう最近FPGA使ってないんだよな
CPUだけでほとんど事足りる。
0548774ワット発電中さん
垢版 |
2019/09/23(月) 16:03:20.83ID:m06XleCj
プロジェクト内に管理対象を置くとバージョン管理がしづらくなるから外においたほうがいいよと言いつつ
何かとデフォルトで中に置こうとするvivadoちゃん
0549774ワット発電中さん
垢版 |
2019/09/23(月) 20:09:10.92ID:CdevNA2+
>>547
おまえAltera使ったことないだろ?ウソをつくな
0552774ワット発電中さん
垢版 |
2019/09/24(火) 01:25:17.87ID:+W0kBq/Y
ひとまず>>547の内容は奇跡的にすべて間違ってる
ちょっとググれば分かることなのにな
0554774ワット発電中さん
垢版 |
2019/09/24(火) 18:23:39.23ID:pDclc2z+
>>536
Quartusは常識的に管理できる
Vivadoみたいにタイムスタンプやら中間処理状態をファイルに入れたりしない
0555774ワット発電中さん
垢版 |
2019/09/24(火) 18:25:31.19ID:pDclc2z+
機能はどうあれQuartusの方が使い易いな
しかしVivadoはほぼ全機能がタダで使えるのはデカい
0556500
垢版 |
2019/09/24(火) 19:02:41.70ID:PnDLnGHL
>all
メモリ替えたらちゃんと認識しました。ただ
cannot set enable bit or blocks protected
というエラーが発生して書き込めませんでした。

フラッシュ側のWRITE ENABLE用の端子は
外部でアクティブ側に固定しています。

考えられるのはVivado側で設定が足りないのでしょうか?
初心者が間違えやすい箇所とかあったら
教えてもらえると嬉しいです。

メモリは128Mb
SPI x1
特に変わった設定にはしていません。

訊いてばかりでスミマセン。
0557774ワット発電中さん
垢版 |
2019/09/24(火) 21:44:33.02ID:qjuDX0Uk
>>549
>>550
>>552
どこがまちがってるかいってみろ。
アルテラ工作員かチンカス野郎ども

QuartusはSystemVerilogのシミュもできないmodelsimの機能制限版しかついてねぇじゃねーかwwww
あいかわらず。
Xiとちがって、完全にツール進化の停止してしまったのが
Intelに買収されてからのアルテラだ。

ちがったら言ってみろカスが。
0558774ワット発電中さん
垢版 |
2019/09/24(火) 21:54:46.17ID:qjuDX0Uk
論理合成はついててもおまけ程度。
Synplifyの足下にも及ばんかったが
最近はまともになったのか?アルテラはwwwww
ああいうのはお試し版よりまだひどいわな。

Quartus = 他社の機能制限お試し版寄せ集めバンドル
0559774ワット発電中さん
垢版 |
2019/09/24(火) 23:17:15.10ID:ffa48dX8
ID:qjuDX0Uk がこういう考え方してても、別に誰も損はせんよね。
たくさん人がいれば、いろいろな人がいるのは仕方がない。
0561774ワット発電中さん
垢版 |
2019/09/24(火) 23:29:49.59ID:RyQ74zDR
>>557
なにをそんなに熱くなってるかわからないけど、一度冷静になって、最近の状況を確認した方が良いんじゃないかな。
シミュレーションの話に関しては間違えてるよ。

以前から(たぶん2000年ぐらいから)Quartus Primeのシミュレーション環境にはModelSimが提供されてる。
フリーウェアのStarter EditionでもVerilog, SystemVerilog, VHDLをサポートしてる。混合もOK。
Starter Editionには10,000行までという制限がかかってる。シミュレーション速度にも制限があるようだ。

Qsysの前身のSOPCBuilderが入ってきた頃からシミュレーション環境がModelSimに移行した。
その前の波形入力ベースのシミュレーションがあるのかは知らんけど、もう使うことは無いでしょ。
IPのシミュレーションでVHDL-Verilogの混合シミュレーションが必須になってきて、言語制限も撤廃された。

現在のStarter EditionのModelSimは10.5b。
行数制限があるとはいえ、ライセンス無しで言語の制限も無いStarter Editionは趣味や学生には非常にありがたい。
Quartus抜きで単独でインストールできる、ってのもメリットに感じる。
0562774ワット発電中さん
垢版 |
2019/09/25(水) 06:48:57.14ID:zlA4DT1A
>>561
アタマのおかしい人はほっておきましょう。
バカな工作員だから

ウソをウソと見抜けないと、FPGAを使うのは難しいwwww
0565774ワット発電中さん
垢版 |
2019/09/25(水) 07:36:03.62ID:MSn767aw
mixed-language の対応は、Quartus II v15.0 以降らしい
だから、もう少し後だね (2015年頃)

以外と最近の事だったんだな
0566774ワット発電中さん
垢版 |
2019/09/25(水) 07:45:18.38ID:MSn767aw
> 行数制限があるとはいえ、ライセンス無しで言語の制限も無いStarter Editionは趣味や学生には非常にありがたい。
> Quartus抜きで単独でインストールできる、ってのもメリットに感じる。

その制約を受ける側ではないけど、これには同意だね
ライセンス料は、趣味の人や学生が気軽に払える価格じゃないからな

その通りだと思うよ。
0568774ワット発電中さん
垢版 |
2019/09/25(水) 20:40:09.12ID:AEGtQLK9
Quartusは分からんけど、Vivadoは化石マシンを使ってる俺にはちょっと厳しいものがあるよ
PaaSの開発環境ないのかな
0572774ワット発電中さん
垢版 |
2019/09/27(金) 00:08:19.10ID:w1rD1MGI
>>561
間違えてるのはお前
modelsim はQuestaとちがってSystemVerilog構文全対応ではないのだよ。

https://www.mentorg.co.jp/products/fpga/verification-simulation/modelsim-starter/compare/

だいたいmodelsimなんて終わったツールを、しかも機能制限版カタワバージョンをいつまでもバンドルしてどーするつもりだ。
AlteraはIntelに吸収されてから、外販で稼ぐ道はあきらめて、IntelのFPGA部門としてやっていくわけだwwww
結局シェアがそれを物語っている


>>563
まだそこにいたのかゴミ野郎
0575774ワット発電中さん
垢版 |
2019/09/27(金) 09:28:04.40ID:lKxvGWCZ
>>572
System Verilog 対応してたって合成出来ないしどうでもいいだろ。
本気で検証するならあると便利だけどな。

仕事で Questa 使ってんの?ご愁傷様。
0577774ワット発電中さん
垢版 |
2019/09/28(土) 00:02:18.42ID:EgFoLqXC
>>500
私もつい最近同じ状況になりました。
ISEのときサポートされていたSPIフラッシュ(ST Micro)がVivadoで
サポート対象外になっていた。(本当にやめてほしい・・・)

まず自作のSPIシリアルフラッシュ書き込みで、外部から強制的に
書き込めるようにしました。

つぎに、MicroBlazeに"AXI QSPI"を接続して、自己書き換え出来るように
しました。
0578774ワット発電中さん
垢版 |
2019/09/28(土) 12:11:49.48ID:JnztpqqB
>>577
わざわざ外部からSPI FLASHに書かなくてもJTAGでSPIコントローラ含むビットストリームをコンフィギュレーションしたらいいのに
0579774ワット発電中さん
垢版 |
2019/09/30(月) 16:23:04.35ID:vE14L/xI
AIアクセラレータコアをFPGAに組み込んだXilinxの新カテゴリ「Versal」
後藤 弘茂 (Hiroshige Goto)2019年9月30日 11:00


FPGA(Field-Programmable Gate Array)ベンダーのXilinxは、まったく新しいカテゴリの製品「Versal」を発表した。
Versalは「ACAP(Adaptive Compute Acceleration Platform)」とXilinxが呼ぶ、マシンラーニング(機械学習)や5Gにフォーカスした製品だ。
Xilinxは、8月に米スタンフォードで開催されたチップカンファレンス「Hot Chips」において、Versal ACAPの概要を説明した。

https://pc.watch.impress.co.jp/docs/column/kaigai/1209345.html
0583774ワット発電中さん
垢版 |
2019/10/03(木) 12:41:34.10ID:FNZAkF93
最近のxiはデータセンター重視すぎて
組み込みで使う機会なくなってきたわ
0585774ワット発電中さん
垢版 |
2019/10/03(木) 20:09:11.68ID:RPRFe3Nr
>>584
もっと小さくて安いやつでいいの

基本アルテラでもっと安くしたい時にラティス

演算性能が欲しいときはxiって感じ
0589774ワット発電中さん
垢版 |
2019/10/04(金) 00:55:23.06ID:T9l7OmoH
GPUなら10万円でかなりの性能だが、FPGAはお高いでしょ?

それにGPUは1万円以下から始められるが、機会学習向けFPGAはそんなに安くない。
0591774ワット発電中さん
垢版 |
2019/10/05(土) 06:48:01.54ID:nzJoo3QZ
しかし、NPU 組み込みの 中華 SoC に両方食われるのであった。
0592774ワット発電中さん
垢版 |
2019/10/05(土) 12:48:35.08ID:xWPmYJjq
HDMIで音声も出力するサンプルコードどっかにありませんかね?
できればVivadoを使ったやつを
0595774ワット発電中さん
垢版 |
2019/10/06(日) 08:52:58.03ID:j1tzevHP
俺みたいなどんくさい奴には仕様書とツールと無限の時間があっても完成できないと思う
0596774ワット発電中さん
垢版 |
2019/10/06(日) 20:10:47.80ID:x0JIc0n8
IPで100万円で売れる物をタダで公開するわけない
タダだとHDMIの年会費とライセンス費も持ち出しになってまう
0597774ワット発電中さん
垢版 |
2019/10/06(日) 20:25:33.78ID:Ll5Wi+5+
>>596
5chで遊んでる奴が仕様書読んで1日でできるようなIPなんて100万もするわけないじゃん
仮に100万するなら>>593が嘘ついてることになるんだけど、そんなことある?
だって、>>593だよ
奴が嘘つくわけないじゃん
0601774ワット発電中さん
垢版 |
2019/10/06(日) 21:50:16.31ID:LoD5Livq
2019/10/04 05:00

日立オートモティブがADASのAI処理で評価開始、米ザイリンクスの7nm新FPGA


https://tech.nikkeibp.co.jp/atcl/nxt/column/18/00001/02967/


日立オートモティブシステムズは、「Xilinx Developer Forum(XDF) 2019」(2019年10月1〜2日に米国カリフォルニア州サンノゼで開催)
の会場にブースを構えて、先進運転支援システム(ADAS)や自動運転に向けたAI(人工知能)処理にFPGA(Field-Programmable Gate Array)
を使ったデモンストレーションを見せた。
このAI処理では、カメラでとらえた動画像から人やクルマ(四輪車)、
二輪車を検出するために、学習済みDNN(Deep Neural Network)を使って推論する。
0603774ワット発電中さん
垢版 |
2019/10/07(月) 11:06:11.53ID:KXkv59F1
住友機械金属工業の採用は、リクルートエージェントが代行していていどんなにスキルがあろうと、年齢ではねます。
0604774ワット発電中さん
垢版 |
2019/10/07(月) 13:42:49.73ID:7LLtwxZf
性能評価してやるから
IPあっぷしれ
気に入ったら送金してくれ
0605774ワット発電中さん
垢版 |
2019/10/07(月) 15:48:55.30ID:ShZvrF9O
>>592
大分前(5年位い 前)に調べたけど無かったね
仕方ないんで、仕様書読見ながら作ったら、音が出るまでに一月以上掛かったな
はっきり言って 映像部分より規模デカいよ(ざっくり言って3倍くらい)
だからこそ、探しても見つからなかったんだろうが

やりもせずに、一日で出来るとか言ってるレベルのヤツには マズ不可能
0613774ワット発電中さん
垢版 |
2019/10/10(木) 07:35:55.58ID:7VDPvjyW
元々Cygwinだったけどね
0614774ワット発電中さん
垢版 |
2019/10/10(木) 07:55:30.65ID:9RU9yKaD
だってマイクロソフトがインテル使ってくれないんだもん


マイクロソフトがザイリンクス製チップ採用、クラウド向け
https://www.bloomberg.co.jp/news/articles/2018-10-31/PHG89Q6JIJUQ01

米ザイリンクスがマイクロソフトのクラウド部門「アジュール」から受注を獲得した。
インテル製チップをザイリンクス製に入れ替える。
0615774ワット発電中さん
垢版 |
2019/10/10(木) 12:55:45.06ID:HfNkbvmQ
インテルは思うようにCPU開発できず既存チップを投げ売りし、
寺抱えたまま沈んでいくのか?
淫寺哀れ
0616774ワット発電中さん
垢版 |
2019/10/10(木) 14:48:36.82ID:8epqZRlU
>>610
winでも18.1 upadte1を入れるとネットワークライセンスがはねられる
インテルの代理店切りのせいで代理店経由で問い合わせても3日立っても返事が来ない.
仕事続けるのに困るからuopdateをアンイントールするのに2時間かかった
0619774ワット発電中さん
垢版 |
2019/10/11(金) 10:52:02.27ID:6s1wwm/d
インテル入ってるanan
0625774ワット発電中さん
垢版 |
2019/11/04(月) 01:22:33.12ID:2hR6s/vz
gowinのFPGA積んだボードが販売されたようでtwitterで少し盛り上がってる
面白そうなチップやね
0627774ワット発電中さん
垢版 |
2019/11/04(月) 23:05:55.39ID:cGYebuko
最近DigilentがFPGAの新製品を出してくれてない気がする
気がするだけ
0628774ワット発電中さん
垢版 |
2019/11/06(水) 07:28:05.50ID:2h9p9pcZ
ついにhdlabが第二新卒の募集を始めやがった

面接で、「一カ月で辞められるでしょ」で退職教養はないわ

派遣バレバレ
0629774ワット発電中さん
垢版 |
2019/11/07(木) 23:50:08.61ID:QjiWfzC+
Intel、1,020万ロジックを備えた世界最大のFPGA
佐藤 岳大2019年11月7日 15:00


米Intelは6日(米国時間)、世界最大のロジック数を謳うFPGA(Field-programmable gate array)「Stratix 10 GX 10M」を発表した。すでに一部顧客向けに出荷が開始されている。


https://pc.watch.impress.co.jp/docs/news/1217144.html
0630774ワット発電中さん
垢版 |
2019/11/08(金) 11:28:24.16ID:ESRWFTVu
510万
1020万

違和感あるな
0631774ワット発電中さん
垢版 |
2019/11/08(金) 12:28:16.41ID:TjwvJWhP
>>630
32bitCPUを2つ積めば64bit級!

510万LEを2つ積んだのだから
当然、1020万LEなのだよ!
0632774ワット発電中さん
垢版 |
2019/11/08(金) 13:08:42.43ID:/TK5QTSD
64bitはともかくとして、チップ間をどうつないでるかしだいだな。
IOパッドとかバッファとか経由してたらオイオイってなる。
0634774ワット発電中さん
垢版 |
2019/11/09(土) 15:29:47.92ID:obTe4Osw
Xilinxに無理矢理スペックで勝とうとした感
一部の顧客専用の割高モデルで一般には売られないだろうな
0635774ワット発電中さん
垢版 |
2019/11/09(土) 15:32:36.08ID:obTe4Osw
>>632
写真見ればわかる
バッファ経由せずに外には出せない
I/Oは別チップが隣にいる
0636774ワット発電中さん
垢版 |
2019/11/09(土) 17:24:04.38ID:9kOf4OV6
ロジック数多くても仕方がないんだがな
DSPとかRAMのほうが大事
0638774ワット発電中さん
垢版 |
2019/11/14(木) 13:16:52.64ID:09cIg2Zx
教えてください。
普段、PICを使っているのですが、i/o拡張と、速度の制約で、外部にロジックICを追加して
設計していると、シフトレジスタやら、カウンタ、バッファと、10個以上の規模になってしまったので、
この部分をCPLDに置き換えようと考えてます。
僕は、10年以上も前に、カメレオンUSBでXC95144XL をちょっとお試しした浦島太郎です。

現償の環境で小規模な回路規模を実現するために必要な情報を教えていただけませんか?
0641774ワット発電中さん
垢版 |
2019/11/14(木) 13:43:48.54ID:VzSNU0+7
>>638
>>640 と同意見だけど開発環境は注意がいる
CPLDは各社軒並みレガシー扱いだから
古いPCならともかく、デバイス決める前に現行PCで開発アプリが動くかは確認しといたほうがいい
0643774ワット発電中さん
垢版 |
2019/11/14(木) 14:01:14.14ID:09cIg2Zx
>>641
開発環境意外とネックなのですね。
別に、製品に使うわけでなくてちょっとした検査器械等で使おうと思っているのです。
だから、CPLDにはこだわらないです。
わずかな外付け部品、安価なライター、手半田なのでTSOPまでという条件だとどうなりますでしょうか?
0644774ワット発電中さん
垢版 |
2019/11/14(木) 14:05:43.94ID:jXJo5PsS
>>638
mouser で QFN32,QFN48 のFPGA を選択すると 何種類かみつかるだろ。
ICE40UP5K とかマルツでも買える。秋月では QFP100 の MachXO2-256 とか。
0645774ワット発電中さん
垢版 |
2019/11/14(木) 14:30:08.62ID:09cIg2Zx
電源3.3Vでシンプルな構成というと、XC95xx が適当なんですね。
あとは、ライターさがし。
0647774ワット発電中さん
垢版 |
2019/11/14(木) 15:00:26.49ID:8L92j+nu
PIC相手だとねぇ
5Vトレラントが必要かどうかだよね…

5Vトレラントが必要なら
XC95XL使った方が楽だから…

5Vは外付け変換で十分、I/Oは3.3Vで良いってなら
MachXO2の方がRAMブロックもあるし良いのだが
MachXO2でもHEとか種類によっては1.8V電源必要だけど
0649774ワット発電中さん
垢版 |
2019/11/14(木) 15:42:57.87ID:09cIg2Zx
>>647
最近のPICは、3.3Vで64Mhz(1step 16Mhz ですけどね)動作するんで問題ないですね。
ただ、電源増えるのは面倒です。

やりたいのは、10Mbps以上の同期シリアル信号を、SARMにため込みたいのです。
SPIのSRAMに簡単に落とせればいいんですけど、まずは、安直にパラレルで考えてました。

開発環境と、ライターのオススメが知りたいです。xpの環境も、win7(64bit)の環境もありますけど、
今後のことを考えて、win10にも対応しているのがいいです。
0651774ワット発電中さん
垢版 |
2019/11/14(木) 20:39:33.65ID:+sxVJSit
新しいやつならcyclone10lpがオススメ
かなり小さいのからでかいのまでラインナップされてる

手軽さはmax10が一番だけど
0652774ワット発電中さん
垢版 |
2019/11/14(木) 21:45:11.36ID:09cIg2Zx
USB Blaster互換JTagケーブル [並行輸入品] が  \1520-くらいで売られているけど、
つかっても大丈夫でしょうか?
トラ技では、
Terasic USB Blaster(1-TB1) ALTERA USB Blaster互換品 \10780-
をオススメしてたけど、ちょっと迷いますね。
0653774ワット発電中さん
垢版 |
2019/11/14(木) 22:17:20.68ID:GhtVJY98
基本的には動く、但し 当たり外れが激しい
(これに限らず、中華のものは皆そうだが)

たいした金額じゃないし、ダメもとで試してみる価値は有ると思う
よく見たら、\457 てのもあるな
0655774ワット発電中さん
垢版 |
2019/11/14(木) 22:36:38.69ID:BIVT1wLJ
>>654
無料の基板CADで設計して
中国業者に製造出して
ご家庭にある電気コンロで実装できるよ

というかした
0657774ワット発電中さん
垢版 |
2019/11/15(金) 01:07:10.56ID:QRoUDZKz
alteraブラスターは安すぎるのは駄目みたいebayで1000円くらいのがいい
500円くらいのは駄目
0658774ワット発電中さん
垢版 |
2019/11/15(金) 01:22:56.51ID:zGkMFCou
USB Blaster互換の中にはBOSD起こすのもあるけど、Intelに買収される前のドライバだと
動いたりするのもあるみたい(うちでは11.0sp1同梱のドライバでは使えた)
0660774ワット発電中さん
垢版 |
2019/11/15(金) 08:22:21.98ID:QjYqShI7
>>656
>>659
ちゃんとやるならメタルマスクもクリームハンダもいるけど
趣味なら別にいらない
BGAのボールだけで付く
温度管理も手動だし
0662774ワット発電中さん
垢版 |
2019/11/15(金) 12:26:11.15ID:Z7kd/crg
基板みたいにチップも設計データ送ったらすぐ作ってくれるようになればいいのになあ
0667774ワット発電中さん
垢版 |
2019/11/18(月) 13:42:56.53ID:Zo0yLlvK
アルテラ系の開発環境は、Quartus 2 webパックという情報が多いけど、
インテルのサイトにいくと、Quartus Prime Lite Edition があるようですけど、
こっちでは、だめなのでしょうか?用途が違う?
0668774ワット発電中さん
垢版 |
2019/11/18(月) 13:56:46.66ID:eS23IO5F
>>667
インテルに買収された前後あたりで、名称が変わった

新しいツールでは、古いデバイスの(例えば Cyclone I/II/III 等) サポートは削除されてる
なんで、アルテラ時代の "Quartus 2"も置いてあったはず、確か・・・

自分が使おうと考えてるデバイスに対応したツール、を選択する事が必要
0669774ワット発電中さん
垢版 |
2019/11/18(月) 14:14:19.24ID:Zo0yLlvK
>>668
thx.
インテルサイトでたどり着いたら、
5月, 2015
となっていて、最新 v19.3 を選択したら、primeに誘導されてしまったのでとりあえずinstallしてみたら
win7のせいか、スタートメニューに登録されず???となって、とりあえず、直接実行できるみたいですけど、いったん削除して
「Quartus II ウェブ・エディション」をインストールすることにします。
0670774ワット発電中さん
垢版 |
2019/11/18(月) 14:42:18.43ID:eS23IO5F
https://www.intel.co.jp/content/www/jp/ja/programmable/downloads/download−center.html
(この板は、 "url" 張ると弾かれるかようだから、全角で・・・)

ここで、どのバージョンがどのデバイスをサポートしてるかが判る
まずは、落とす前に確認しとけ

間違えて対応してないバージョンを落としても、無駄に時間を費やすだけだからな
0671774ワット発電中さん
垢版 |
2019/11/18(月) 16:39:59.81ID:Zo0yLlvK
とりあえず、インストールできました。
ハードは、まだ用意していないけど、
ソフトシミュレータ(あんのかな?)使って、簡単なロジック回路の動作確認はできますでしょうか?
安いテストボードあれば、それを試してみたい。
0673774ワット発電中さん
垢版 |
2019/11/19(火) 10:52:54.71ID:Sc5H2MNC
>>672
マクニカのチュートリアルを使って、何とか試すことができました。

情報がアルテラ時代のものだったので、テストベンチファイルのlinkが切れてたけど、現行のprime用で
用意されているものを使ったら動きました。

GUIの設定項目が多すぎて、独学ではかなり難しそうですけど、ボチボチやっていきます。
無償のipが比較的充実していていますね。

普段C言語を使っているので、verlog かなと思っていたけど、VHDLも悪くないなと思いました。
0675774ワット発電中さん
垢版 |
2019/11/21(木) 02:20:59.24ID:F2O7zLK3
Intelマザーなんて使ってないシナ
他社製マザーに搭載されたチップそのもののBIOSとかもBIOSエディタいじくる以外は関係ないかな
それより、
マザボ起こさなくてもチップセットの評価できるようになってるの?
0676774ワット発電中さん
垢版 |
2019/11/21(木) 19:41:22.02ID:5hDJ32un
お前らみたいに買わないくせにブーブー文句言うやつらに卸さないだけでリファレンスは作ってるだろ
0677774ワット発電中さん
垢版 |
2019/11/24(日) 01:12:52.23ID:XdDquvaS
中国FPGA は 実はLatticeの人がスピンアウトしてつくった会社で設計は米国 製造が中国らしい
丸文が扱うらしい
合成ツールは、synplify が使えるとのこと
0678774ワット発電中さん
垢版 |
2019/11/24(日) 10:09:11.25ID:gL/0bhA1
gowin面白そうなチップ多いんだけどホビーには入手性がねえ
0679774ワット発電中さん
垢版 |
2019/11/26(火) 16:18:13.44ID:gGnE5GMk
DE10-nanoで使ってSoC FPGAの勉強始めました。
チュートリアルやってLinuxでLEDを自由に光らせられるようになりました。

が、この後何をすれば良いのやら。
HDLはそれなりに出来るので、HPCなしではHDMI出力とかカメラ画像の取得とか色々手を出してすが、
SoC FPGAならではの勉強になる事をしたいのですが、何すりゃいいのかわからず困ってます。

アドバイスもらえませんかね。、
0682774ワット発電中さん
垢版 |
2019/11/26(火) 21:49:07.57ID:ZgmvcQsm
> DE10-nano

SOC部分は、安物中華SBCにも遠く及ばない
FPGA部分は、ON-Board DRAMの使用に大きな制限が課せられる

まぁ、はっきり言って 選択の失敗だな
0683774ワット発電中さん
垢版 |
2019/11/27(水) 06:43:14.11ID:/t9ybFCU
マイコンですらOS入れると何して良いかわからなくなる
FPGAでとかさらにわけ分からん
0684774ワット発電中さん
垢版 |
2019/11/27(水) 09:22:40.42ID:WSFz2ofp
>>682
無理しなくていいですよ。
0685774ワット発電中さん
垢版 |
2019/11/27(水) 14:07:01.26ID:/kM4fYYe
>>679
Zynq の FPGA で Lチカしようとしたら勝手にプロセッサが動きだして出来なかった。
Altera なら簡単なのかな?
0688774ワット発電中さん
垢版 |
2019/11/27(水) 23:10:18.59ID:1aJZTZSg
>>686
ハードで動かしてるのに、勝手にプロセッサの起動シーケンスが動き出してポートを初期化しだすんだよ。
0690774ワット発電中さん
垢版 |
2019/11/28(木) 03:22:27.75ID:STdg30FF
Intel PAC セミナー受けてきたけど、なんでIntel側が初期化関数1個用意すればいいのにできないの?
レジスター1個初期化したあとどうするの?
FPGA代理店が各社にやっていたセミナー内容。
あの最低限レベル、Intelそのものはできないの?
0693774ワット発電中さん
垢版 |
2019/11/28(木) 19:07:29.64ID:1GVHQaEY
zynqは、petalinuxのブートイメージ作ってsdとかspiから起動できるところまでやらないと、実用できないよ
プラットフォームケーブルでPLだけダウンロードして、できたつもりになってるなら、まだ半分にも達してない
PLに実装してarmにメモリマップしたIPを、linuxからdevmemでつついて楽しむもの
Intelのは標準linux環境ないのが辛い
0694774ワット発電中さん
垢版 |
2019/11/28(木) 19:23:17.45ID:BCkE6Sih
>>691
FPGAマガジンとかZynqを使う解説本とかに普通に書いてあるぞ
代理店に聞くより前に勉強しろ
0697774ワット発電中さん
垢版 |
2019/11/29(金) 00:20:48.71ID:7uTY3k0H
>>693
ARM 使わずにロジックだけ使いたかったんだけど出来ないの?
ARM は起動後に sleep させればいいんだろうけどコードをどこに置くかすら書いてないんだよね。
0698774ワット発電中さん
垢版 |
2019/11/29(金) 04:19:43.98ID:yKT8oaoo
>>694
両方高いし、FPGAで高年収嫁つき一戸建てがもらえないのに、調べる意味はないな。
0700774ワット発電中さん
垢版 |
2019/11/29(金) 08:52:48.37ID:ZXla6+tM
金融系やろなぁ
もしくはトヨタで自動運転の研究か
はたまたintelかxilinxか
0701774ワット発電中さん
垢版 |
2019/11/29(金) 11:15:02.64ID:QT1dQrhq
>>696
定期購読の人にはどうやって還金したんやろ
図書券?CQだけに使える交換券?
0702774ワット発電中さん
垢版 |
2019/11/29(金) 12:31:20.92ID:HcbP7HC2
FPGAマガジンは不定期刊行だから定期購読やってなかったよ
0703774ワット発電中さん
垢版 |
2019/11/29(金) 13:46:15.45ID:S759fxyK
>>697
arm不要ならzynq使わんほうがいい
zynqは高いだけだから、spartanで十分

zynqはストレージから起動してpsのピン設定とplのダウンロードしたらarmに制御移すので、arm止めるコード書いて止めないとだめ。
とにかくpetalinuxのビルド環境作らないと何も始まらない
linux使わないベアメタルはもっとハードル高いから貴方には無理
0704774ワット発電中さん
垢版 |
2019/11/29(金) 13:55:32.63ID:S759fxyK
別にarm止めるコード書かなくてもいい
plをビルドしてpetalinuxのブートイメージ作って起動すれば、plは動く
petalinux触らないでplだけ動かすとか、armのhalt命令をどっかから読み込ませて、とか考えてるなら、貴方にはたぶん無理
petalinuxの役割と位置付けを理解してないと、zynq扱えるようにはならない
0705774ワット発電中さん
垢版 |
2019/11/29(金) 14:15:58.27ID:QiZrgsCs
要するに SOC+FPG は明確な目的持ってないと無駄
むしろARMが邪魔する分 劣化だな。

元々、ARM側繋ぐ事を想定しているから、ボード上のDRAM使うのにも一苦労だし
更に、FPGA側から使おうとすると そのパフォーマンスも糞(高性能とか謳ってるが、レイテンシが凄い)

俺も当初 ARM使わなきゃ
 普通の"FPGA+DRAM"ボードとして使えるだろう
と考えた事があったが
資料読み込むにつれ、そうでは無いことが判って買うのを止めたな
0706774ワット発電中さん
垢版 |
2019/11/29(金) 14:34:15.55ID:7D+pIYQV
面倒くさそうやね。
コンフィグのビットファイルとARMの実行コードだけでいいだろうに。
0708774ワット発電中さん
垢版 |
2019/11/29(金) 18:27:21.83ID:S759fxyK
plで実装するipを、全部axiスレーブにしておけば、制御はarmからできる
pcでpciバスにカードを挿すと、pciアドレス空間上にデバイスが出現するのと同じように、armのアドレス空間上に好きなだけipを並べて、linuxから使える
それがzynqの良いところ
usbとかetherも使えるから、連携した信号処理やるなら便利
最近の案件はzynqばかりよん
0709774ワット発電中さん
垢版 |
2019/11/29(金) 19:57:59.65ID:tfOag/Yh
ファイルシステムとかネットとかが必要なくて、ソフトウェアの演算性能が欲しいときは>>707のパターン。
NEON付き600MHz超の演算能力は助かる。
ネットとかが必要になったらLinux入れる方が楽ちん。
ちょっとした制御だけソフトでやりたいな、って時はMicroBlaze。

あと多分1GByte程度のメモリが載ったFPGA評価ボードの安いやつ、ってなると大体Zynqな気がする。
0711774ワット発電中さん
垢版 |
2019/11/30(土) 18:24:58.29ID:v4YnzM4E
と言うわけなので、IntelのFPGAボードをクラウド鯖にさす奴が、誰も状況を把握してなくてやばいね。あれIntel潰れるぞ
ボキュ病院いったほうがいいかな?
0712774ワット発電中さん
垢版 |
2019/11/30(土) 18:29:54.08ID:v4YnzM4E
Intel病院に入院して、看護婦さんは可愛ければいいです。入院費用はIntelが払えばOK

Intel狂ってるんで

そこでMicrosoftのAzureデスヨ!!
0713774ワット発電中さん
垢版 |
2019/11/30(土) 23:22:42.37ID:dgv22WuT
>>711
Intelは責任を取って、米国から園児ニアを連れてきて本気で対象しろ。
0715774ワット発電中さん
垢版 |
2019/12/01(日) 18:07:52.61ID:sSShvVKX
>>713


https://wasa-labo.com/wp/?p=504

レジスタ ぐらい、一つのコンポーネントにして
Qualtusドラックドロップで出来るようにしてもらえないか

何でそうしないの?出来ないの?

何で10年前に他社で出来ていたことが出来てないの?

ユーザ軽視にも程があるんじゃ無いの

これ誰が使いこなせるんだよ。

使いこなせる会社あったら、それだけで起業出来る

けど、使いこなせている会社が国内に無いよね?

どっかあんの?
0716774ワット発電中さん
垢版 |
2019/12/01(日) 18:27:36.88ID:sSShvVKX
厳しめに書いたけど

Intel が下記のURLのように自分でFPGA用の関数を書いてくれるならばいいが

FPGAベンダーが自分でFPGA用の関数を書ける領域到達出来るプログラム(主に人の問題)が揃っていない点が最大の問題点

これを解決できる、国内・海外ベンダー・Intelを希望

QsysでAvalonバスをグリグリいじれるFPGAベンダー全員太刀打ちしても、対象出来ないんじゃないかなこれ

でだ。誰かやったことのある人とか企業はいんの?

下記のURL
https://jp.xlsoft.com/documents/intel/magazine/Intel_ParallelUniverse_Issue34_JPN.pdf




ところでXilinx側は上記のような問題はないの?
0717774ワット発電中さん
垢版 |
2019/12/01(日) 19:01:20.01ID:sSShvVKX
FPGAの部屋 marsee101 さんのセミナーを受けたくなったじゃないか

http://collab.info.hdlab.co.jp/about/vivadohls/

でもおかね高くて受けれない。




結局、PCに刺さるFPGAボードというものを、やっと両者がベンチャーに遅れ10年〜20年越しで出しているわけだけれども。

じゃあ、誰がやんのよ。

そういった状況にいまいるわけです。

安易に出来ると言い張る会社のソースを見ると、まゆつばものだということがわかります。

さて、今後FPGA業界はどこに走って行くのでしょうか?


それは、皆さんのみが知ります。

ワクワクしますね!!!!
0718774ワット発電中さん
垢版 |
2019/12/02(月) 12:37:27.33ID:luWYY2wR
井倉さんのファンだけど
なひさん講師やってくれんかな
0719774ワット発電中さん
垢版 |
2019/12/02(月) 17:25:24.27ID:5QLRbA0+
なひさんの有料セミナーに参加したことあるが、資料が丁寧でよかった。
ただPCは自前で準備だったんだが、指定されたバージョンと違うのをインストールした人がいて、その対応で時間が無駄に過ぎていった。

なひさんはセミナーしなくていいから本書いて売ってくれ。
0723774ワット発電中さん
垢版 |
2019/12/03(火) 15:08:22.13ID:lSnGFrns
いろんな代理店を吸い込んで超爆発
マクニカ ブラックホール経営か
0724774ワット発電中さん
垢版 |
2019/12/04(水) 06:54:23.59ID:3pjefeJU
FPGAの話でないんだがこのスレなら使用経験者がいそうなので
同期SRAMで例えば
ttps://www.cypress.com/part/cy7c1360c-166axc
ttps://www.gsitechnology.com/node/113820
あたりのデータシートを見るとアドレス線に番号が振っていない。これじゃ配線できないと思うのだが
自分がなにか見落としている?それとも非公開情報なの?
0725774ワット発電中さん
垢版 |
2019/12/04(水) 07:20:13.27ID:h63EIJ5H
>>724
よく読んでないですが、アドレス(A1,A0以外)もデータも、任意のものを接続したらいいってことじゃないでしょうか。
0726774ワット発電中さん
垢版 |
2019/12/04(水) 09:38:11.88ID:lpSsyjLs
バースト転送に使うからA0A1は固定だけど他は好きにすればいいんじゃね?
0727774ワット発電中さん
垢版 |
2019/12/04(水) 10:11:51.25ID:qPK3Bxr5
そうでもないよ
256Kx32(36) or 512Kx18 の2種類あるから A17 だけは明記してある

まぁハッキリ言って、不親切な書き方ではあるな
0728774ワット発電中さん
垢版 |
2019/12/04(水) 10:18:46.89ID:L0yFb7/i
>>725
アドレスは1対1にさえなってればどこに繋いでも良いんやろ
0729774ワット発電中さん
垢版 |
2019/12/04(水) 10:42:01.47ID:lpSsyjLs
配線考えるとこれとこれ逆だといいんだけどって時あるしな。
0731774ワット発電中さん
垢版 |
2019/12/04(水) 12:45:00.01ID:XeK8gYfz
raspi 用 spi LCD で 125MHz クロックで動作するものがあるんだが、試しに自分で設計してみると難点がある。
spi - 16bit パラレル変換をやってるのだが、データが確定してから L_WR の立ち上がりまで 4ns しかなく LCD の 仕様(10ns>)を満たせない。
ターゲットは多分 XC9536XL 。実機でどうやっているのか?どうすべきなのか?気になってる。

1) そんなの無視
2) L_WR の後段に RC を入れて遅延させる。
3) ダミークロックを入れる仕様にして L_WR を遅延させるFF を入れる。

  reg [3:0] r_cnt;
  reg [14:0] r_sft;
  reg [15:0] r_out;

  assign L_WR = ^r_cnt[3];
  assign D = r_out;
  always @(negedge P_SCK, posedge P_CE0)
  begin
    if (P_CE0) begin
        r_cnt <= 0;
    end else begin
        r_cnt <= r_cnt +1;
    end
  end
  always @(posedge P_SCK)
  begin
    r_sft[13:0] <= r_sft[14:1];
    r_sft[14] <= P_MOSI;
    if (r_cnt == 15) begin
        r_out[14:0] <= r_sft[14:0];
        r_out[15] <= P_MOSI;
    end
  end
0732724
垢版 |
2019/12/04(水) 12:48:59.83ID:Pwjhp/7C
さんきゅ。ようやく理解した
SRAMのセル上でどのようにデータが配置されていようが外部から見た時の差はないのだから
どう繋いでもかまわないということか
0735774ワット発電中さん
垢版 |
2019/12/05(木) 20:57:44.21ID:ccEFgHHr
>>731

  reg [3:0] r_cnt;
  reg [14:0] r_sft;
  reg [15:0] r_out;

  assign L_WR = ^r_cnt[3]; ←この出力クロックで叩いてないよねバカなの?死ぬの
  assign D = r_out;

  always @(posedge P_SCK)←こうしろ
  begin
    if (P_CE0) begin
        r_cnt <= 0;
    end else begin
        r_cnt <= r_cnt +1;
    end
  end

  always @(posedge P_SCK)
  begin
    r_sft[13:0] <= r_sft[14:1];
    r_sft[14] <= P_MOSI;
    if (r_cnt == 15) begin
        r_out[14:0] <= r_sft[14:0];
        r_out[15] <= P_MOSI;
    end
  end

あとリセット信号ないから、糞
0738774ワット発電中さん
垢版 |
2019/12/05(木) 21:36:59.36ID:+CQD5wbt
assign L_WR = ^r_cnt[3];

コレなんだ、 見たこと無いな
エラーにならなかった?

1Bit幅 に対して、リダクション演算子を使ったらどんな回路を吐き出すんだ?
assign L_WR = r_cnt[3] ^ r_cnt[3]; <- こうなるのか?
0739774ワット発電中さん
垢版 |
2019/12/05(木) 22:15:58.14ID:TQsryaOd
SPIのクロックラインそのままクロックに使うのって普通か?
どんなのが入力されるかわからないから、いつもFPGA内部クロックで叩いてロジックで立ち上がり立ち下がりを見てるんだが
0740774ワット発電中さん
垢版 |
2019/12/05(木) 22:42:34.84ID:ccEFgHHr
これさールネサスでSH2設計してた奴の書き方だろ

動くわけないやん
0742774ワット発電中さん
垢版 |
2019/12/05(木) 23:02:07.99ID:+CQD5wbt
> どんなのが入力されるかわからないから、いつもFPGA内部クロックで叩いてロジックで立ち上がり立ち下がりを見てるんだが
遅ければ、それが案パイ、 俺もよく使うけよ
だけど、ここに書いたヤツは、SPI クロック=125MHz と条件付けてるわけだからね

これに、この手の手法を適応したら
最低 2倍の周波数でサンプリング => 250MHz、 更にハーフ・エッジを使うとなると 500MHz 相当
どんなに足掻いても、メットさせられませんよ。
0744774ワット発電中さん
垢版 |
2019/12/09(月) 00:42:43.92ID:S9AygylX
hdlab 長谷川さん
「辞めるには一ヶ月あれば辞められるでしょ」
できないとわかると
「技術力無いんですね」
派遣でサイコパス搾取かなとも思いますが
派遣還元金額はここが一番高いんですよね。
自分の目で確かめることをお勧めします。
過去リーマンショック時に色々あったようで、人材流出があったようです
0748774ワット発電中さん
垢版 |
2019/12/13(金) 12:34:29.39ID:QtHzw+F8
雇われでhdl書いても高給取りにはなれない
ただのプログラマーと同じだもん
製品仕様作って、コストと採用部品決めて、実装して、作って売るところまでやれば大儲けできる可能性がある
0752774ワット発電中さん
垢版 |
2019/12/18(水) 16:40:49.41ID:/7PGiPRG
>>751
高位合成といっているのは行為の問題で、ボキュは年収の高い高貴なお嬢様と、好意を抱き行為をしたいのですが、どの会社のFPGAをかえば良いでしょうか
0755774ワット発電中さん
垢版 |
2019/12/21(土) 12:23:22.51ID:XtCnFHEg
Vivado2019.1でZYNQを使った設計をしているのですが
他のプロジェクトで作ったユーザーIPを使う方法って知りませんか
0757774ワット発電中さん
垢版 |
2019/12/21(土) 18:27:34.23ID:+YOxxO3o
手っ取り早く、アルテラ勉強したいんですけど、
デジキー扱いの DK-DEV-5M570ZN ってチュートリアル
の通りでそのまま動きますか?

トラ技12月号のテストボードを買った見ようと思ったけど、
トラ技の注文サイトで3ヶ月以上待つかもしれないと書いてあって萎えてしまいました。
https://shop.cqpub.co.jp/hanbai/books/I/I000330.html
0759774ワット発電中さん
垢版 |
2019/12/21(土) 19:46:10.41ID:Kki/K3vu
言葉足らずだったかも
トラ技の内容をやるのであれば、ピン配置が違うだろうから初心者だとハマると思う

とにかくIntelのFPGAを触りたい、トラ技の内容はどうでもいい、というのであれば
DE0-CVとこの本を買えばすぐ経験できると思う

FPGAボードで学ぶ 組込みシステム開発入門[Intel FPGA編]
0762774ワット発電中さん
垢版 |
2019/12/22(日) 22:18:05.82ID:f8Za0yJ1
唐突だけど、だれかZynqberryに手出した人いる?

Zynq使いたいけど、ラズパイの感覚で使いたい/ラズパイにつながるハードを繋げたいって時には
最適だと思うんだけど、どうよ?
0764774ワット発電中さん
垢版 |
2019/12/22(日) 23:32:58.12ID:f8Za0yJ1
ちょっと冒険して、Zynqberryのスターターパックをポチって見た。
ムネアツ?
0765774ワット発電中さん
垢版 |
2019/12/23(月) 06:42:01.34ID:4USOAUZs
FPGAカンファレンスって、FPGAブームに反して年々規模縮小してるよな
6都市開催してた頃はうちの会社も行ってたんだが
0766774ワット発電中さん
垢版 |
2019/12/23(月) 11:30:14.15ID:8JfrDdZq
>>762
二年前ぐらいに安いZynqボードとして買ったけど当時の記憶ではラズパイみたいに至れり尽くせりではないのでサクッとはいかんかったね
自分でpetalinuxとか設定できるならいいんじゃない?
0767774ワット発電中さん
垢版 |
2019/12/23(月) 11:50:39.73ID:IQG4laQ3
BRAMをXPMで記述してインテグレーターでadd moduleでRTLを置くとインプリは問題ないのに
bit生成でBRAMの初期化ファイルが読めませんってクリティカルワーニングが出るんだけどなんで

使用Vivado2019.1
言語VHDL
0769774ワット発電中さん
垢版 |
2019/12/24(火) 12:06:57.32ID:kvRL01ef
BRAMをIPにしたらBMMのクリティカルワーニングが出た
対処法はxilinxのサイトに書いてあった
XPMのクリティカルワーニングはわからないので使うのやめたと設計者が言ってた
設計者がIP使うの嫌ってXPMにした初期値がなぜ必要なのか理解できん
0771774ワット発電中さん
垢版 |
2019/12/26(木) 19:44:39.43ID:J4IlEr/5
実機評価でZynqを使ったDDR3の評価のやり方を知っている方いませんか?
0773774ワット発電中さん
垢版 |
2019/12/26(木) 21:03:45.99ID:8FANcqLZ
>>771
全番地にインクリメントデータみたいなのをライトして
その後リードバックして一致したらokでいいんじゃ?
0776774ワット発電中さん
垢版 |
2019/12/26(木) 22:46:54.94ID:2wXLmKII
自信が無いのなら、厳しい試験をやらないとな
そうじゃないと痛い目に逢う

接続されたストレージが汚染されて、気が付いたときはもう手遅れ。
0782774ワット発電中さん
垢版 |
2020/01/03(金) 21:59:48.79ID:3sXBTaQe
住友重機械工業
はリクエーが採用代行してて、年齢条件と転職回数制限が酷い
一時期はFPGAできて3Dできる人募集してた。
無条件で職務経歴書受かるのに断ってきやがって酷い
0784774ワット発電中さん
垢版 |
2020/01/04(土) 15:51:54.77ID:aDkb6yJn
なんか、ことごとく派遣を使い古してきたブラック企業が募集しまくっていて笑える 日立とか
0785774ワット発電中さん
垢版 |
2020/01/04(土) 16:41:51.57ID:aDkb6yJn
>>784

一カ月前に派遣解除通告をして5日前に再雇用を繰り返す日立ICSに人が回らない活動すればいいかなニヤニヤ
0793774ワット発電中さん
垢版 |
2020/01/15(水) 08:07:59.05ID:cRFMXSSP
FPGAは滅多につかうことがないので忘れるな。w
FPGAを使うような仕事はかなり大規模になるからめったにない。それに殆どがマイコンで
できてしまうからな。
0794774ワット発電中さん
垢版 |
2020/01/15(水) 13:36:32.21ID:bk/o5WMH
マイコンとは速度が全く違うし速いのはメリットだけど
回路規模とか電源の面倒臭さとかconfigとかコスパとか
CPLDで良いだろってなる
0795774ワット発電中さん
垢版 |
2020/01/16(木) 00:52:02.42ID:K3bWlUO6
むしろ最近は規模に関係なく
FPGAを載せた基板ばかり作っているが?
0796774ワット発電中さん
垢版 |
2020/01/16(木) 08:42:33.49ID:EoLAo26y
やることが違えば使うデバイスも違う、ということですね。
0799774ワット発電中さん
垢版 |
2020/01/16(木) 21:07:30.68ID:EoLAo26y
>>798
マイコンだけで作る方が良いものをFPGAで作る理由はなくて、
FPGAを使うことにメリットがあるものを作るときにFPGAを使うということだと思うよ。
なんか当たり前すぎることでつらい。
0800774ワット発電中さん
垢版 |
2020/01/16(木) 21:50:44.21ID:qs/3/fFN
FPGAを使うことにメリットがあるものってFPGAじゃないと作れないものじゃ
0801774ワット発電中さん
垢版 |
2020/01/16(木) 23:38:52.08ID:EoLAo26y
>>800
たとえば、超絶テクニックでCPUで実装することもできなくはないけど
メンテとか変更対応とかを考えたらFPGAの方が楽、ってことはあるし。

SoCを使う人だと、もっとFPGAを積極的に使う理由があるはず。
俺の現役のときにはそういう機会はなかったけど。
0803774ワット発電中さん
垢版 |
2020/01/17(金) 07:46:25.74ID:AZXun5Az
超絶テクニックってどのくらいを言うんだろうね。今のご時世アセンブラ書いているだけで変人扱いされたりするし
基本的に電力効率や値段ではマイコンに勝てないのだから、それらを許容出来るアプリケーションである必要があると思うが
0804774ワット発電中さん
垢版 |
2020/01/17(金) 09:02:25.31ID:IECK5L5k
>>802
もし、マイコンと同じだけの開発案件があるなら、もっといろいろなメーカーが
参入してそうですよ。

そんなにあるかなあ、って量的なことが問題なんですか?
わずかな分野、案件であっても、あるところはあるし、マイコンでは代替が効きにくいことがある、
ってことで良い話なんじゃないですかね。

継続して請け負ってやっているところには、継続して話がくるし、そうでもないところには
案件として持ち込まれることも稀になるということはあるかもしれません。
0805774ワット発電中さん
垢版 |
2020/01/17(金) 11:14:59.95ID:qoK3ysMK
CPUでループするようなやつはFPGAに向いてないんじゃないの
0807774ワット発電中さん
垢版 |
2020/01/17(金) 12:21:38.31ID:wmty2AAP
CPUで出来てFPGAで出来ないことはほとんど無い。逆は山ほどある
0809774ワット発電中さん
垢版 |
2020/01/17(金) 13:40:44.88ID:IECK5L5k
>>807
あなたも
「CPUで出来てFPGAで出来ないことは無い」
と考えていないわけだし、FPGAでないとできないことがあることは認めてるわけだよね。

そういう認識でいいんじゃないですか?

どちらが多くても少なくても必要なところに必要なものが使われるわけだし。
量的なことに意味があるわけじゃないよね?
0811774ワット発電中さん
垢版 |
2020/01/17(金) 15:34:17.91ID:mxedwxe2
>>807
>CPUで出来てFPGAで出来ないことはほとんど無い
そのような製品の開発や販売はよほどの特殊品でもない限り無理だろう
0814774ワット発電中さん
垢版 |
2020/01/17(金) 21:56:07.10ID:IECK5L5k
>>811
「そのような製品」がどんな製品をさすのかイマイチわからないので説明してほしい。

>>812
わざとばかを演じなくてもいいのでは?
0815774ワット発電中さん
垢版 |
2020/01/17(金) 22:04:31.68ID:Er+fbqU4
マイコンで出来ることをマイコンで実装した製品とマイコンで出来ることをFPGAで実装した製品がある
値段は当然マイコン<FPGA。後者が生き残れる可能性などなきに等しい
0816774ワット発電中さん
垢版 |
2020/01/17(金) 22:13:58.03ID:IECK5L5k
10円で作れるものを10円で作ったものと、
10円で作れるものを100円で作ったものとがある、
当然10円で作ったものの方が安い。

ってなんでこんな当たり前の話を繰り返すのだろう。
0820774ワット発電中さん
垢版 |
2020/01/17(金) 22:51:46.47ID:Er+fbqU4
より安価な選択肢があるのに単に楽だからなんて理由で高価なFPGAを
使うなんて普通無理だしよほどコスト意識が希薄なのだろう
というのが自分の主張です
0823774ワット発電中さん
垢版 |
2020/01/17(金) 23:22:44.53ID:IECK5L5k
>>820
>>815に戻るけれど、

>マイコンで出来ることをマイコンで実装した製品とマイコンで出来ることをFPGAで実装した製品がある
>値段は当然マイコン<FPGA。

ここまでは、>>816に書いた通りで、ほぼほぼ当たり前の話でいいと思うんだ。

>後者が生き残れる可能性などなきに等しい
「後者」が、「CPUで容易に実装できるものにFPGAを使う行為」を指すなら同意。
でも「後者」が「FPGA」を指すとしたら飛躍がある。

絵の「A」は99.9%の人がFPGAがなくてもCPUでできると考える領分。
「B」は99.9%の人がFPGAを使わないとできないと考える領分。
誤解の無いように書くけれど、量的な話は別だよ。
例えば、Aの領分の仕事の案件が1000でBの案件が1でもいいんだ。

あなたが>>815で書いたのは「1〜3」のあたりの話だよね。
少なくとも「5」のあたりなら、必要とされるデバイスが必要とされるわけだし、「1〜2」の知見で
「5」の需要がなくなると考えるのは無理がある。

絵もみてね。
0824774ワット発電中さん
垢版 |
2020/01/17(金) 23:30:17.92ID:IECK5L5k
>>820
コスト意識といっても、1個何万円もするようなデバイスが使われる機器だと
製造数量も少ない一方で売価もとても高価なものだったりします。
安くぎりぎりに作ることよりも、市場投入の時期を優先するとか、性能第一だとか
様々な別の要求が優先されることも少なくありません。
0825774ワット発電中さん
垢版 |
2020/01/18(土) 01:43:11.37ID:yhuUeDce
そもそもマイコンを使えば安くできるってのは時代遅れの考え

結局マイコン使うと周辺にIC何個も載せるハメになるから
それならFPGAワンチップで処理した方が安い
0830774ワット発電中さん
垢版 |
2020/01/19(日) 04:28:55.55ID:snuqG+Ey
適材適所と合理性って話でしかないのになんで不毛な議論してるんだか
0833774ワット発電中さん
垢版 |
2020/01/19(日) 12:09:24.64ID:4psU/jlC
販売されている以上、用途が存在する、と考えるのが自然。
0834774ワット発電中さん
垢版 |
2020/01/19(日) 15:41:02.23ID:iaur/8mT
>>823
USBキーボードマウスが出た頃はまだPS/2も(本体PC側に)残ってたけど
最近のPCにはPS/2付かなくなったのを思い出した
0835774ワット発電中さん
垢版 |
2020/01/19(日) 16:01:57.68ID:l3u2BqoW
年間:5〜6千億円 程度の実績があって、しかも伸びてるわけだ
どんな屁理屈付けようが、 事実を前しては通用しない
0836774ワット発電中さん
垢版 |
2020/01/19(日) 16:42:29.30ID:XEAytb+3
量的な話もそれなりに重要だと個人的には思うが、まあ、量的な話を無視して仕事できる人達もそれなりに存在するものな
0838774ワット発電中さん
垢版 |
2020/01/19(日) 18:03:20.03ID:iaur/8mT
もちろん量が見込めなければ淘汰される訳だが
需要があれば残るって話で量的な問題ではない
0840774ワット発電中さん
垢版 |
2020/01/21(火) 22:41:39.33ID:7Qd4s0fw
xilinxにアルテラみたいな激安書き込み機ってある?
中華ブラスター、PICブラスター的な
0842774ワット発電中さん
垢版 |
2020/01/22(水) 10:18:49.55ID:5he0fp8m
OpenOCD は SVF 書き込みに対応してるんじゃないの?
だったら対応してるアダプタでOKみたいな気がするが。
0844774ワット発電中さん
垢版 |
2020/01/23(木) 23:38:11.97ID:0i69sdg8
>>842
なるほど
でもそのやり方だとデバッグ機能は使えないよな?

>>843
それは俺も気になってる
しかしパチモンにしては高いうえに微妙に評判が悪い?
0847774ワット発電中さん
垢版 |
2020/01/25(土) 23:09:02.80ID:uzsHon/G
Spartan7 の196PIN BGAパッケージのデバイスで、フルHDの画像(フレームバッファ)をHDMIで出力したいと考えています。
フレームバッファの画像データは電源投入直後に1回書き込み、その後は同じ画像を表示し続けるだけです。
フレームバッファは外付けDDR3-DRAMを使おうと思いましたが、デバイスが小さいため8bit幅のものしかつけえません。
MIGで16BITの選択が不能。あまり経験がなくフルHDの表示が可能か(DRAMの読み出しが間に合うか)心配です。
0848774ワット発電中さん
垢版 |
2020/01/25(土) 23:14:17.09ID:uzsHon/G
DDR3-DRAMには、1ピクセルあたり(R・G・B 各8bit) で 24bitのデータが格納されます。
0849774ワット発電中さん
垢版 |
2020/01/25(土) 23:27:32.81ID:GOUvxcJ2
tang nanoが無性に欲しくなってきた
規模が小さいけどCPLDの置き換えに便利そう
digikeyで扱ってるらしいから大量購入しようかしら?
0851774ワット発電中さん
垢版 |
2020/01/26(日) 13:33:52.34ID:sDmAIRFb
>>849
tang nano 安いな。Mouser で \653 か。これで オンボード USB JTAG が付いたうえで PSRAM 付きとか。
送料無料になる額まで大人買いしたらどうだ。役に立たなくとも別に痛手ではなかろう。
在庫がなくなったらリードタイム半端ないぞ。間に合わなくなっても知らんぞ。
0852774ワット発電中さん
垢版 |
2020/01/26(日) 16:13:37.24ID:BCGHioMC
>>847
VivadoシミュレーターはDRAMコントローラーもよく動くから試してみるのもいい
DDR3のシミューレーションモデルはDRAMメーカーの配布物もちょっと直せば動く
画像1ライン分ぐらいなら数分でやってくれるだろう
0853774ワット発電中さん
垢版 |
2020/01/26(日) 20:39:38.88ID:ZyfUfX6g
>>851
seeed直販だと4.9ドルだな
時間はかかるし、送料で10ドルとられるらしいけど
0857774ワット発電中さん
垢版 |
2020/01/27(月) 19:50:30.24ID:yqukvUoK
>>854
864ビットシフトレジスタ
0858774ワット発電中さん
垢版 |
2020/01/28(火) 12:48:11.89ID:7pd1dohX
>>847
S7にddr3-800を8bit幅で接続すると、ピーク帯域は800MB/s、実帯域は半分と見ると400MB/s
フルHDがYUV422の1080/59iだとすると、読み出し1方向のみなら150MB/sなので楽勝で間に合う
RGB444でやっても225MB/sなので間に合う
1080/59pだと2倍の帯域が必要なので、RGB444は苦しくなるけど、頑張ったらできるかも
hdmiのエンコードをfpgaでやる方が難しい
tmdsしゃべらせて、映ればokレベルなら、頑張ればなんとかなるかも
0861774ワット発電中さん
垢版 |
2020/01/28(火) 14:01:05.10ID:DlmG1mZ0
>>860
59i,59pはビデオ業界の慣用表現
正確には59.94iと59.94pだけど、長いからこう書く
60p,60iとの違いは、ピクセルクロックの周波数が74.25Mか、74.1758Mか
ntscのフィールド周期が59.94iだったことに由来する値
白黒のときは60iで良かったんだけどね
0862774ワット発電中さん
垢版 |
2020/01/28(火) 14:11:17.77ID:ohJAesF6
XilinxだとだいたいAXIでつなぐことになると思うから、マルチマスタでもAXIインターコネクト介せば調停回路は作らなくても大丈夫。

正確には59.94fpsだね。丸めずに59fpsって呼ぶのは、ちゃんとした60.00fpsと区別するためなんだと思う。
Windowsのモニタ設定にも59fpsと60fpsがあるね。
0863774ワット発電中さん
垢版 |
2020/01/28(火) 14:53:21.03ID:APJp5CJb
>>861
アナログ時代から家電メーカーで映像機器の設計開発をやってて
数年前に退職した身たけど、59i 59p なんて省略形は見たことないよ。
普通は書くならちゃんと59.94と書く。
あるいは暗黙の了解で、仮に60と書いてあっても
文脈で判断してPC関係でなければ頭の中では59.94と理解する

「り」とか「マ?」とか、今は何でもかんでも省略というか横着しすぎだなw
0864774ワット発電中さん
垢版 |
2020/01/28(火) 15:44:28.30ID:qfbg0In9
> 1080/59pだと2倍の帯域が必要なので、RGB444は苦しくなるけど、頑張ったらできるかも

>>848 に、 条件が書いてあるが・・・
質問ヌシが書いてる内容を見る限り、ビデオ信号に関する知識は無さそう
単に、”FULL HDのbmpイメージがある、それを表示したい”、 それだけだね

なら、インターレースの概念など持ち合わせていないだろから、60P を想定だろ
これだと、メモリ帯域だけじゃなく、最終段の SER/DESも GHzを超える(1.5GHz程度)
DotClk も150MHzで, これも制約無しじゃ厳しいだろ

実際、60P でやってるヤツは見た事はあるけど、それは 当然それなりのレベルの人での話し
聞いてるヌシでは無理だと思うな。
0865774ワット発電中さん
垢版 |
2020/01/28(火) 16:21:23.70ID:7ZLTJedF
昔業務用ビデオやってたけど59iなんて表現見たことないよ。
0867774ワット発電中さん
垢版 |
2020/01/28(火) 17:27:02.45ID:DlmG1mZ0
sonyとかパナの現行機器の説明書で普通に使われてる>59p,59i
年寄りだからか、民生機しかやってないから知らないだけ
経験あるつもりかもしれないけど、知識のアップデート怠ったらただの老害
0868774ワット発電中さん
垢版 |
2020/01/28(火) 18:20:57.25ID:10Q6f6L0
847です。
反応が遅くなりすいません。

>>850
すいません。たまにしかFPGAを使わず あまり詳しくなく・・・・

>>852
ありがとうございます。試してみます。

>>858
おぉ、この情報は助かります。
DRAMへの書き込みは電源投入直後の1回だけで、その後は読み出しだけです。
(画像固定のフォトフレームみたいないものです)
チャレンジしてみます。

>>864
はい、そうです。60Pです。
SER/DESはドットクロックの10倍で1.5GHzで動かすことを想定しています・・・・

10年くらい前にフルHDではないのですが、Spartan3A や Virtex5 で同じようなことを
やった経験がありますが、デタラメに作っただけなので基本的な知識にかけています。
いま、まずはXilinx のXAPP495 (Spartan6向け) を Spartan7用に変更している最中です。
ようやく配置・配線でエラーがなくなりましたが期待通りに画像が映るかどうか・・・・

あぁ、どうしよう・・・
0869774ワット発電中さん
垢版 |
2020/01/28(火) 19:11:26.55ID:DlmG1mZ0
s7のoserdesは、スピードグレード2でも最大1250Mb/sなので、1080/60pは無理
ds189参照

xapp495も1080/60iでrgb444までしか対応してないので、60pやりたければ自分で拡張しないと出せない
でも60iでよければ、すぐ出せるでしょ
0870774ワット発電中さん
垢版 |
2020/01/28(火) 19:35:17.45ID:iXkT/Mej
あらら、経験は有ったのか
なら、最初からそういって欲しかったな

まずメモリ帯域が怪しい、この手のブラック・ボックス コントローラは実
際にシュミレーションしてみないと判らない。
(上で、誰かが指摘してるように、
  > ピーク帯域は800MB/s、実帯域は半分と見ると400MB/s
 オレ自分で確認するつもりはないけど、遠からずって処だろ)

次にTMDS_33、此方もスペック・オーバー(意外と遅い、恐らく需要が少ないんだろうが)
ただし此方は実力的には動くと思う、まぁ趣味の範疇だろうから動けばOkて事で大丈夫だろ
推測の根拠は、Sparutan6 での動作報告を見たことがある(但し、オーバース・ペックと注意書きは添えてあった)

以上は、パラッとデータ・シートを捲っただけだから、真偽の程は自身で確認してくれ

Spartan-7 FPGAs Data Sheet: DC and AC Switching Characteristics
ds189-spartan-7-data-sheet.pdf

Greade ? -2 -1
TMDS_33 0.81 0.88 (ns)
0871774ワット発電中さん
垢版 |
2020/01/28(火) 21:01:59.78ID:gRphnT7G
>>867
老害でおおいに結構!

円周率は3だと今でも言い張るゆとり君や、
59.94i を 59i と書くことに何の疑問や抵抗のない
あなたのような人になるよりはずっといい
0872774ワット発電中さん
垢版 |
2020/01/28(火) 21:09:04.14ID:10Q6f6L0
>>869
>>870
コメントありがとうございます。
Spartan7のスペック外ですが、まずは勉強をかねて1080Pで試してみようと思います。
無理であれば、720Pで進めたいと思います。
結果が出たら報告したいと思います。
0873774ワット発電中さん
垢版 |
2020/01/28(火) 21:49:03.68ID:DlmG1mZ0
>>871
で、59iや59pという表記が使われてるかどうか、調べましたか?
別に私が俺ルールで始めた記載じゃないんです。
20年以上ビデオで飯食ってるから、若者でもない。
流れには逆らえないし、こっちの方が便利なこともあるので。
0874774ワット発電中さん
垢版 |
2020/01/28(火) 23:03:28.60ID:aB+mwpPN
入力FIFOを3ライン分位用意して書き込むよろし
出力も同様で

たぶんそんな感じで XilinxのDDRコントローラのIPのバーストの係数で、シーケンスマシン組めばいいと思われ
0875774ワット発電中さん
垢版 |
2020/01/29(水) 00:29:57.98ID:Em5XnVMZ
なんかたまにずれた発言するやついたけど
やっぱ老害が紛れ込んでいたんだな
0879774ワット発電中さん
垢版 |
2020/02/01(土) 22:43:34.73ID:J2dmf1z5
cyclone系とMAX10の大きな差ってなんですか?
フラッシュの有無は理解できますが
0881774ワット発電中さん
垢版 |
2020/02/02(日) 01:46:19.61ID:EKD3jNBc
規模とピンアサインと速度がフィットしちまえばあまり関係ないか
規模はcpldが小さいがな
0882774ワット発電中さん
垢版 |
2020/02/02(日) 07:23:56.37ID:N8mtJYXj
MAX 10は、LE=50K まで有るからな
規模の視点で見るなら、もはや CPLD のイメージは無いよ
一世代前の MAX5までは、最大でも LE=2.2K 程度だったから、文字通り桁が違う
メーカ自身の表記でも、MAX10からは FPGA に変わった。 (MAX5まではCPLDの表記)
0885774ワット発電中さん
垢版 |
2020/02/03(月) 00:19:55.12ID:ZFsa624a
cyclone、 無印 2/3/4/5 飛んで 10、 と種々あるからな
仮に比べるなら、同時期の物同士での比較妥当だろう

その条件なら >>884の言う通りで、速いのは cyclone の方
0887774ワット発電中さん
垢版 |
2020/02/03(月) 09:51:21.37ID:ZFsa624a
同じ "10" でも
"MAX 10" の方はAlteraの時で、"Cyclone 10" は Intel に変わってから。

全く別のプロセスを使ってるのに同じ名称とか
PCで培った お得意の リネーム・テクノロジ を思わせるような名称の付け方だよな

インテルに変わってから、すっかり魅力が失せた
このスレでも同意見が散見される、そう感じてるのはオレだけじゃ無いよ
0888774ワット発電中さん
垢版 |
2020/02/03(月) 11:36:08.00ID:rc5YnAAV
FPGA と CPLD の大きな差ってなんですか?
0891774ワット発電中さん
垢版 |
2020/02/03(月) 12:45:46.78ID:VhRkZnB+
intelになってからまったく使わなくなった
xilinxが攻めた機能を搭載してきてるのがうちのニーズに合致しているのもあるけど
0892774ワット発電中さん
垢版 |
2020/02/03(月) 14:23:34.33ID:oFwZmHQk
>>888
小規模FPGAでFlash 搭載のチップを CPLD ということがあるが、本来は別物。
マクロセルを使うのが CPLD もしくは PLD 。
0893774ワット発電中さん
垢版 |
2020/02/03(月) 16:09:05.69ID:B5Y+VLH6
Max10てもう古いのか? 滅多にFPGAつかわないので使うたびにかわる。
Max10使いやすいのにな。今回これで基板おこすつもりで設計完了したんだが
チップ変えた方がいいんだろうか? BGAは使いたくないしなー。
0899774ワット発電中さん
垢版 |
2020/02/04(火) 04:36:04.55ID:sZLXUK7+
>>892
MAX10はMAXシリーズだからマクロセルを使ってると勘違いしてたわ
新ブランドにすればいいのに
0900774ワット発電中さん
垢版 |
2020/02/04(火) 19:39:38.28ID:9YUn4h+n
>>895
古いデバイスは高いよ。ビット単価でひかくするとね。
0901774ワット発電中さん
垢版 |
2020/02/04(火) 22:55:08.54ID:/BBcn1Mm
以前に Spartan7 のフルHD画像の表示について質問した 847 です。
ようやく XAPP495(Spartan6向け) が Spartan7 で動作しカラーパターンが表示する
ようになりました。

この先の実装は以下の構成で考えているのですが、本当にこれが最適解なのか???な状態です。
DDR3-SDRAM --- MIG --- AXI Interconnect --- 自作IP(HDM(DVI)I出力)
電源投入後のDRAMへの書き込みは MicroBlazeから行うつもりです。

自作IPをつくるべきか(AXI Stream ?)、Vivadoに付属の既存のIPを使うべきなのか、やり方がいろいろありそうで、
むずかしいです・・・・
0902774ワット発電中さん
垢版 |
2020/02/05(水) 01:12:18.47ID:Y9YFns8n
使用可能なIPがあるなら使ったほうが良いかと。
自分がよくやるのは、AXI VDMAを使ってDDR MIGから画像を引き出してAXI4Streamにして、AXI4 stream Video OutとVideo Timing
GeneratorでSyncつきパラレルビデオ信号にしてしまう方法。
今は無料でC++高位合成もできるからそちらに挑戦するのも楽しい
0903774ワット発電中さん
垢版 |
2020/02/05(水) 07:06:48.33ID:mMtdadSv
そもそものキーポイントは、1080P / 720P だったわけだからな
そこはどうだったのよ
0905774ワット発電中さん
垢版 |
2020/02/05(水) 16:21:45.34ID:/k2D67KQ
>>888
昔のアルテラの資料にはピンからピンの時間が一定なのがPLD、
回路により可変なのがFPGAと張ったがMAX10はマクロセルじゃないんで
一定にならないよな
0906774ワット発電中さん
垢版 |
2020/02/05(水) 23:10:27.61ID:auEu9GAt
>>902
ありがとうございます。
とても参考になります。
AXI-VDMA を試したいと思います。

>>903
すいません、まだ1080Pは試せていません・・・
0908774ワット発電中さん
垢版 |
2020/02/08(土) 08:06:42.86ID:qhtpkCWV
> 【新型肺炎】中国、深セン市(人口1302万人)も封鎖

最後にポチったのが去年の11月だったが、 こうなると人事じゃないな
0910774ワット発電中さん
垢版 |
2020/02/08(土) 14:10:34.10ID:AeBgxyNq
55都市が封鎖といってたな。
0912774ワット発電中さん
垢版 |
2020/02/14(金) 14:57:13.51ID:boDn8FyK
マウザーで値段見てたらSILEGOのPLDだかアナログASICだかの混合したICがあったんだけど安いし面白そう。なんでもできそうだけどデバイスの規模が小さいんで使いこなしが難しいそう
0914774ワット発電中さん
垢版 |
2020/02/19(水) 16:18:28.60ID:HyfAoEIx
http://www.gowinsemi.com.cn/
もうここらに対抗できる日本メーカってないんだな
ルネとかオリジナルCPUじゃどーしよーもなくなってARMビジネスに乗り出した者の全然うまくいってなさそうだし、
このままじり貧じゃねーの?
0915774ワット発電中さん
垢版 |
2020/02/20(木) 00:27:21.46ID:jeYjRdMg
>>914
そんなの20年前にわかってたこと上で
ずっとボコボコにされてんだよ
半導体は経営能力が高くないとだめだから日本企業には無理
官にこびへつらって人月売るという経営力がゴミでもできることにシフトしとる
0916774ワット発電中さん
垢版 |
2020/02/20(木) 03:23:00.50ID:FCnbGrN7
何時 発送出来るか判らないのでキャンセルしてくれ だってさ
良心的な店だな、半分は音沙汰すら無し。
0917774ワット発電中さん
垢版 |
2020/02/20(木) 11:02:22.34ID:ZJk7uyjG
>音沙汰無し

担当者死んでるんじゃないか?gkbr
0919774ワット発電中さん
垢版 |
2020/02/21(金) 12:38:14.65ID:ekq4zDj/
aliとかで2000円くらいのsp6ボード売ってるsdram付きのやつ
sp6だからiseだけど
0923774ワット発電中さん
垢版 |
2020/02/27(木) 09:30:05.29ID:MB3eBmvv
4000円で25kLEのCYC1000とか?
0924774ワット発電中さん
垢版 |
2020/02/29(土) 08:52:47.68ID:BQVppcy6
初心者です。
FPGAで行列の乗算をできるだけ早く実行したいと思います。
Xilinx, Intel どちらを使っても大した差はないのかなぁと思っているのですがどうでしょうか。
0928774ワット発電中さん
垢版 |
2020/02/29(土) 15:22:31.03ID:BQVppcy6
>>925
ありがとうございます。了解しました。

>>926
すいません、整数だけを扱います。

>>927
そうなんですね。
初心者だけに疑問があって、いまネットで調べているのですが
・乗算用のハードマクロが用意されていてそれを使うことになるのか?Xilinxの場合はDSP48?
・単純な掛け算(たとえば10?10など)が完了するまで何クロックかかるのか?
など手探り状態です。
0929774ワット発電中さん
垢版 |
2020/02/29(土) 15:23:23.02ID:BQVppcy6
「10?10」 は間違いで 正しくは「10 X 10」 です。
0930774ワット発電中さん
垢版 |
2020/02/29(土) 15:40:30.68ID:t0fLlysp
こういうアルゴリズムの検証はsystem gen xilinx とかがいいのかな
matlab とかで検証してfpgaに実装
0931774ワット発電中さん
垢版 |
2020/03/01(日) 13:55:54.14ID:vpGqbzRG
10x10とかいまどきのCPUなら1クロックでそ
0933774ワット発電中さん
垢版 |
2020/03/01(日) 17:53:38.57ID:sOuUZeDj
10*10みたいな大した量じゃないなら
メモリに入れてしまうという手もある
0934774ワット発電中さん
垢版 |
2020/03/01(日) 17:59:14.80ID:lAaSqZrL
vivadoなら乗算 * で書けば勝手にDSP48に置き換えてインプリしてくれる
単純な掛け算ならワンクロックで十分に速度出る
bit幅がでかくてカスケードする場合は自分でDSP48置いたほうが確実
0936774ワット発電中さん
垢版 |
2020/03/01(日) 19:30:10.63ID:NXaeqIn9
シーケンサ組まんと行列計算できんだろ
それともそこまで高位合成できんの?今どきって
0937774ワット発電中さん
垢版 |
2020/03/02(月) 11:30:23.28ID:6vrzBFF2
もしかして10行10列のことを言ってたのか?
0938774ワット発電中さん
垢版 |
2020/03/03(火) 20:54:12.44ID:t7SBrctQ
>>936
高位合成はもう十分使われてるよ
cで書いたディープラーニングを合成して
行列計算しまくりやで
0939774ワット発電中さん
垢版 |
2020/03/03(火) 21:18:22.58ID:Yfv3rpmK
レイテンシと規模を推定考慮できるまでの経験積むのが大変
0942774ワット発電中さん
垢版 |
2020/03/05(木) 21:27:59.98ID:CROlLJUC
パイプライン化して回さないといくら乗算器があっても足りないぞ
0944774ワット発電中さん
垢版 |
2020/03/06(金) 09:34:12.76ID:K173Srln
BGAのハンダボールに一本ずつポリウレタン線を植え込んで基板に実装
という夢を見た。
0945774ワット発電中さん
垢版 |
2020/03/06(金) 11:09:48.35ID:A9NZOj9g
> 大量にあれば。
大量の乗算器を並列に動かすように工夫しないと、全然性能が出ない

なんせ昨今のCPUに比べ
配線遅延も含めての 実用的な乗算器の速度は、 1/10 程度だからな
100倍の数の乗算器を並列に回して、やっと10倍の速度。 こんな感じだ

単一乗算器をパイプラインで回す とかやってたら、安物のRaspiのSIMD にさえも負けてしまう
わざわざFPGAでやる意味が全く無い
0946774ワット発電中さん
垢版 |
2020/03/06(金) 11:14:10.12ID:OixdVdwC
うん、個人じゃとてもとても手がでない。
GPUのほうがいい
0947774ワット発電中さん
垢版 |
2020/03/06(金) 12:29:16.10ID:p5XT888V
>>944
追い込まれてるなω
ちょっと休め
0952774ワット発電中さん
垢版 |
2020/03/06(金) 14:24:57.73ID:CTYJTrDw
積和をマクロ化してforで並列化すりゃ記述自体は簡単にできるでしょ
fmaxがどれだけ取れるか別にして

行列演算に特化するなら(人工知能ではない)AIエンジンを積んだVersalを使うのが簡単な気がする
0953774ワット発電中さん
垢版 |
2020/03/06(金) 20:15:38.43ID:IyJ0KKSj
昔BGAのFPGAをひっくり返して手ハンダ実装やっている記事を見た記憶があるんだがググっても出てこねぇ
0957774ワット発電中さん
垢版 |
2020/03/07(土) 11:19:53.60ID:o2Q2F4Up
>> ズラと呼んでいた。
0958774ワット発電中さん
垢版 |
2020/03/07(土) 21:24:40.24ID:F/NzBOT9
今なら海外で基板作ってホットプレートかな

FPGAに限らず表面実装やBGAが増えて電子工作も冬の時代だな
実装費がもう少し下がって個人でも実装済み基板を発注するのが当たり前になれば再興するかも?
0960774ワット発電中さん
垢版 |
2020/03/09(月) 12:48:59.49ID:qcPfOIp4
335 名前:名無しさん@そうだ登録へいこう [sage] :2020/03/09(月) 05:21:17.47 ID:2c2fiQBT0
>>331
ルネサス二重派遣の 月単価75 時給1100円 見込み残魚53 日立JTE→シーデックス より好待遇やん
0961774ワット発電中さん
垢版 |
2020/03/09(月) 23:26:58.64ID:U8UYB/cy
ラズパイやなんとかジャムのおかげで、高専レベルの教育では電子工作やりやすいです
0963774ワット発電中さん
垢版 |
2020/03/10(火) 01:46:54.54ID:WqRY+S3u
ラズパイ・ジャムのおかげで、FPGAを使った電子工作をする学生さんは皆無になりつつあります…
0964774ワット発電中さん
垢版 |
2020/03/10(火) 02:13:55.36ID:O/adkFyR
ラズパイ等で電子工作の人口は増えたけど電子工作が目的化している人も増えた感がある
技術は道具なんだから不満を解決し便利にするのが本来の使い方のはず
0965774ワット発電中さん
垢版 |
2020/03/10(火) 02:18:53.12ID:+DDU8/X8
汎用CPUでできるならそのほうがいいってバッチャがいってた
0966774ワット発電中さん
垢版 |
2020/03/10(火) 06:09:36.42ID:LHs6JDfQ
>>964
むしろ最近のアート系の人たちのほうが手段として使ってるだろ。
我々は工作が目的化している。
0967774ワット発電中さん
垢版 |
2020/03/10(火) 07:25:33.54ID:oQMz/cLO
RasPiもArduinoも教育用だし関連するエンジニアの育成および産業の振興が最終目的では
使うまでだと片手落ちじゃね
0973774ワット発電中さん
垢版 |
2020/03/12(木) 21:55:41.25ID:b/LxVeES
そういや数ヶ月前にインテルも古めの
デバイスは値上げするって代理店から聞いたな
0974774ワット発電中さん
垢版 |
2020/03/12(木) 22:28:18.58ID:fNF3JFWk
>>969
今のうちに買っておいて、後で少し安く売れば、ちょっと利益出るかも?
0976774ワット発電中さん
垢版 |
2020/03/12(木) 23:08:08.84ID:k2ie0aX7
>>975
使う奴は商社から調達するだろうけど、商社は品物が確保できないと他の商社から仕入れて、そこが変なところから仕入れることがあるよ
0978774ワット発電中さん
垢版 |
2020/03/13(金) 12:27:18.27ID:k4AKE3B6
デマだな。

本当は25%うぷなのよ

タヒってくる (ノω・、) ウゥ・・
0980774ワット発電中さん
垢版 |
2020/03/25(水) 16:41:49.08ID:52nLxy0v
FPGAが流行らないのはツールが難しすぎるからじゃない?
設計するまではもちろん楽しい。
HDLはかなりめんどくさいがそれでも何とか慣れればまあそこそこ楽しい。
ところがデバッグになるととっても難しい。なんで真っ赤になるの?
絶対に動く回路がなんで信号がでてこないの?
modelsimの使い方を理解するのに、いったいどれだけ時間をつかったか?
それでも毎回わすれて一から勉強しなおしだ。modelsimをみるだけで気分が沈む。
0981774ワット発電中さん
垢版 |
2020/03/25(水) 16:44:29.84ID:fNWaU+QT
ASICじゃないんだから失敗してもええんやで
0983774ワット発電中さん
垢版 |
2020/03/25(水) 18:31:56.44ID:52nLxy0v
>それは FPGA 以前の問題だろ。
いやいやFPGAが以前なんだろ。進みすぎてるから困る。w
0984774ワット発電中さん
垢版 |
2020/03/25(水) 19:13:24.60ID:yAoOs+Tn
>>980
Modelsimで真っ赤って意図せずX(不定)になってるってこと? だとしたら回路が悪いんだろうな
単純なシミュレーションするだけなら面倒ではあるが難しくはないだろう
FPGAのソフトは難しいとは思わないが面倒くさいとは思う
0985774ワット発電中さん
垢版 |
2020/03/25(水) 20:19:31.61ID:etF+pEoI
ウィルスの検査装置って、仕組み自体がわかりませんが
FPGA 使ってるんでしょうか?
0986774ワット発電中さん
垢版 |
2020/03/25(水) 20:25:50.67ID:hpON1fOs
どれだけ涙を流せば
紅に染まったこの俺を
せつなく時間を埋め尽くす
0988774ワット発電中さん
垢版 |
2020/03/26(木) 01:48:17.57ID:5F3RkBLQ
>>984
インストールで20GB以上もダウンロードするし、コンパイル等で1分かかるからな
一見さんは寄り付かない
0989774ワット発電中さん
垢版 |
2020/03/26(木) 03:20:53.61ID:mAg2OVv/
>>984
毎日使ってる人はあまり気にならないと思うが、三年に年に一回くらいしか使わないレベルだと無茶苦茶難しいよ。
今回は三年後のデバッグのために、「モデルシム使い方完全説明」というのをまず書いた。w

三日くらい使うとだんだん慣れてくるが、毎度最初は気が狂いそうだ。
0990774ワット発電中さん
垢版 |
2020/03/26(木) 03:22:02.45ID:mAg2OVv/
なんかおかしいと思ってたら、テストベンチはシーケンシャルなのね。
verilogはコンカレントなので、そのつもりで立ち上がりエッジをつくってるのに
どうしてもエッジができないので悩んでた。w
always @(posedge clk)
begin
dfre2[0] = !dfre2[0] & sigin;
dfre2[1] = dfre2[0];
dfre2[2] = dfre2[1];
pls = dfre2[2];
end

周期信号の立ち上がりエッジで1クロック幅のパルスを作りたいのだが、どうしたらいいんだ?
これでいいんか? これに気が付くのに半日かかった。w
always @(posedge sigin)
begin
#DELAY;//3n delay?
pls = 1;
#CYC;
pls = 0;
end
0992774ワット発電中さん
垢版 |
2020/03/26(木) 21:27:47.36ID:PKGYuCw9
>>991
バカ言えw
初心者が最初に試す「hello world」を一瞬でコンパイルして実行できるだろ
0993774ワット発電中さん
垢版 |
2020/03/27(金) 04:12:40.93ID:7U30poBN
>>990


always @(posedge clk)
begin
dfre2[3:0] <= {dfre2[2:0],(!dfre2[0] & sigin)};
end

assign pls = dfre2[3];
0994774ワット発電中さん
垢版 |
2020/03/27(金) 04:19:26.67ID:ncp+qOtY
>>990

reg sigin_d1;
wire risingedge_sigin;

always @(posedge clk) begin
sigin_d1 <= sigin:
end

assign risingedge_sigin = ( (sigin_d1==1'b0) & (sigin==1'b1) ) ? 1'b1 :1'b0;
0995774ワット発電中さん
垢版 |
2020/03/28(土) 07:25:28.65ID:Kcm9nAFZ
assign risingedge_sigin = ( (sigin_d1==1'b0) & (sigin==1'b1) );
これじゃまずいのか?
0996774ワット発電中さん
垢版 |
2020/03/28(土) 07:31:05.21ID:n4Ik6lTN
普通に↓だろ
assign risingedge_sigin = sigin & ~sigin_d1;

バカ比べ でもやってんのか?
0998774ワット発電中さん
垢版 |
2020/03/28(土) 07:51:11.52ID:Kcm9nAFZ
>>996
そやな。w お前が正しい。
くそっ、釣りにひっかったか?

>>997
そのコンパイラの最適化が信頼できないから、いろいろ書き方を考えてしまう。
まえはワーニングでても全部インテジャでかいてたがモデルシムだと絶対に誤動作するからな。
1000774ワット発電中さん
垢版 |
2020/03/28(土) 09:15:51.69ID:n4Ik6lTN
信頼できないのは、アンタの記述の方

同一人物かは解かりかねるが、
"コンカレント"の記述を間違えてるのは自分の方なのに、
ツールに対して的外れな文句を言ってる。

そんなヤツが、少し上の方に居るよ
10011001
垢版 |
Over 1000Thread
このスレッドは1000を超えました。
新しいスレッドを立ててください。
life time: 294日 19時間 30分 58秒
10021002
垢版 |
Over 1000Thread
5ちゃんねるの運営はプレミアム会員の皆さまに支えられています。
運営にご協力お願いいたします。


───────────────────
《プレミアム会員の主な特典》
★ 5ちゃんねる専用ブラウザからの広告除去
★ 5ちゃんねるの過去ログを取得
★ 書き込み規制の緩和
───────────────────

会員登録には個人情報は一切必要ありません。
月300円から匿名でご購入いただけます。

▼ プレミアム会員登録はこちら ▼
https://premium.5ch.net/

▼ 浪人ログインはこちら ▼
https://login.5ch.net/login.php
レス数が1000を超えています。これ以上書き込みはできません。

ニューススポーツなんでも実況