X



トップページ電気・電子
348コメント98KB
【Verilog】 記述言語で論理設計Project15 【VHDL】 [無断転載禁止]©2ch.net
0001774ワット発電中さん
垢版 |
2017/04/21(金) 21:48:16.41ID:KqIjhH63
HDLの処理系も、それを実際に動かすシミュレータ・評価基板も、
安価で入手できるようになってきました。

このスレが目に入ったお嬢さん! HDLで何か作って遊んでみませんか。
日曜工作のHDL書き、学生さん、プロの方、主婦の方、カキコお待ちしております。
関連情報は >2-10 あたり。

入れ食いキーワード
・Nios、MicroBraze
・Artix-7、Kintex-7、Virtex-7、ハードコアCPU内蔵 Zynq-7000

※前スレ
【Verilog】 記述言語で論理設計Project14 【VHDL】
http://rio2016.2ch.net/test/read.cgi/denki/1470611688/
0002774ワット発電中さん
垢版 |
2017/04/21(金) 21:48:36.06ID:KqIjhH63
・FPGA
 Xilinx ttp://japan.xilinx.com/
 ALTERA ttp://www.altera.co.jp/
 Lattice ttp://www.latticesemi.co.jp/
 Actel  ttp://www.actel.com/intl/japan/
 QuickLogic ttp://www.quicklogic.com/

・ASICベンダ推奨ツール類 (高価)
 Synopsys ttp://www.synopsys.co.jp/
 Cadence ttp://www.cadence.co.jp/
 Mentor ttp://www.mentorg.co.jp/
 Synplicity ttp://www.synplicity.jp/

・Verilogシミュレーター (無料)
 Icarus Verilog
  ttp://iverilog.icarus.com/
  ttp://ryusai-hp.web.infoseek.co.jp/icarus.htm (解説)
  ttp://www.ice.gunma-ct.ac.jp/~kimsyn/verilog/FreeSim/iverilog/iverilog.html (解説)
 IVI
  ttp://ivi.sourceforge.net/
  ttp://www.kumikomi.net/archives/2005/06/10icarus.php (解説)

・VCDフォーマットの波形表示 (無料)
 GTKWave
  ttp://gtkwave.sourceforge.net/
  ttp://ryusai-hp.web.infoseek.co.jp/gtkwave.htm (解説)

・関連書籍
 STARC ttp://www.starc.jp/
 CQ出版 ttp://www.cqpub.co.jp/
 Interface ttp://interface.cqpub.co.jp/
 Design Wave Magazine (休刊) ttp://www.cqpub.co.jp/dwm/
 ディジタル・デザイン・テクノロジ (旧DWM) ttp://digital-design.cqpub.co.jp/

・解説サイト
 やるおと学ぶ Verilog-HDL ttp://hirokinakaharaoboe.net/yaruo_verilog/

・関連スレ
 【FPGA/CPLD】 XILINX/ALTERA/Lattice/Actel #24
      http://rio2016.2ch.net/test/read.cgi/denki/1475205631/
 【EP3】DE0で始めるVerilog HDL【C16】
      http://rio2016.2ch.net/test/read.cgi/denki/1310362001/
0003774ワット発電中さん
垢版 |
2017/04/22(土) 07:13:33.38ID:SVcPEvzj
)())()))))(()((()(((()))((()()))()))))()(((()))(()((()()()())((((()()())(())
))()())(()()(((())(()((((()))((((()(())((()))(((((()()))))(())())))()))())))
((()()()()((()())(((())())(()(()))())()(())))))()(((()()())((())))))(((())()
)(((()))(((()())()(()()(()(())(()()()()()())(()))(())()))()()))())()))(()(((
(())())))((()())())(()))((())()(((((())()()()((()))((()))(()))()()((()))))((
)))((()))()(())((())))((((((()())((())()(()()))())())))()()((())()())(())(((
)(())()(((()()())(((()())))((()((()))()())()())())(()))(()()))))()()((()()((
()()((((()(((()(()))()))()())))())(()()(()((()))(())()()((((())()))))(()))()
)())())))(())(())))(((()(((()((())()(()))(())))(((())((()()))()))(((()))(()(
)())((((()(())(()((()))))())))()))()())()(((()(((())(()))((()()(())()())(())
)()((()(()())()))())((()())))))())()((((()())))()(()(((()())(())())(())(()((
))(())(()((())))(())(()(())((((((((())()()))(())))())((())(()()()())(())()))
()(((((())()())(((((())())((((())(()(())(())((()()))))())))(()()())())()))))
())()(()))))(())))((()(((((()(((())())()))())()((()(())))()(()()(((()))()())
))(((()))(()())(((()((())))((())()((())(()))(((())))))(()())()))(()()()(()()
)())))(())((())((()((((())(())(((())))(())))((()()()()()(()())(())()()(())))
)()()())(((((()))()(())))()))())))()()()))(()(())(())((((((()))(()()((())()(
(((())((()))()))())())()))()())))()(()))())(())))(((((()())(((())(()(()()(((
())))())(())((())((())())()))(()()(()))()())(()(()(())()((())(())())())(((((
))))))((()))(((((((()))))()())())()()(()((()())()))))()(()((())(((((())))(((
)())))))())()))(()())))()(()))()(((((((()((((())()(())(()(()(()))())()(())((
(((()((()(())(()()())((())))(())())))()()()((((())()()()()))(()))())((()()))
())()())(((()(((()())()(())()))(())(()(((())()()())(()((()))())())(())()()))
)(()((()())()(()()(()())()((())())()))((()()())((())((()(())(()(())()())))))
())))((()))(()()())()(()((()(())()()())(())()(((())))(()))((()()((()))())(()
)()))(((()))))))(()((()))((()))()(()()(()(()())(((((()(()(())))()())(((())))
)())((()(()(())))(())()()(()(((()))()((()(((()((()(()))(()))))))))((())())()
))(()()()()(())())))))())())()(()))()((()))(()())(()(()((())((()(()((()(())(
))())((((((())))(((()()()(()))))((((())())(()()))(((()))(()(((())))))(()))()
)()((()()((()()))()(()()()))())((())(())())())(((())((())(()()))(()(()))(())
())(()((()))))()))())()))()(((((()(()((()))()()((()))())(()()((()))()))()(((
)))()(()()((()(()()((()(())()(((())()(()((()))))((()()()((())()())())))())))
))())()()))(())((())()((()()(((())(())()))(()()))()((())(()(())()()(()(()())
)()()))()(())(()))()))(())()())()())(()(())(((((()(()()())(()))(())()((())((
()))(())()()())())())()(()()))(()())))(()(()(())(((()()())())(())(())(()((((
)((())))(()))())(()))))((())(()(()((()()(()()))(((()()))()())()())(()))(((((
((()()))())()))((()((()()((()()))()))())(()))((()))))((((((())))((((()()))()
()()()(())())))()()))())((((()))()()))(()))))()((((()())()((((())()((())()((
))((())(())(())))))((())))()())()(()))()(()()))(()(())(((()())()(((()(())(((
(())()()()((()))))(())()(((()))((()))()())()))()(()(((()())(())((()))())()((
)((((()))(()))))()))))())())))((((()())())))))(())((((((((((())(()))((()(()(
()))))()(()(()(((())())((())((((())(((()()())())()())()))))))()()((())()()((
))((()(()())()))(()()((()(()())))(())())))()))((()(())(()((()()))(())(())(((
())))())())()(()(()(()(())(()(())))((()(()()(())(()(())((())()()()(()()))())
)()(((()()()((()())(()())()(()(()((((())))(()(()))())(())()))()))()))())((()
(()))(()))())()((()()())(()(((()()(()((()))(())())())(()()()))(()))()(())()(
)))())(())())((()))()((())))())))(()(()))(()()()(())(((()((()(()))(())()((((
))()(())(((())))()(((()())))))())))(()(((()(()())))())((((()()((()))))((()((
()((()()))(())())(())())(())())((()()(()(())((()())()(()(((())(())()))())())
))))()()()((())())((((((())))()(((()))((()(((()))()(())))()(()()(())())))(()
0006arisa ◆QaHT6HayjI
垢版 |
2017/04/22(土) 11:32:59.43ID:WnmS8HfM
30umのLSI設計はそろそろ飽きたので、28ナノチンコのFPGAに再度挑戦したい
0007arisa ◆QaHT6HayjI
垢版 |
2017/04/22(土) 11:34:19.40ID:WnmS8HfM
>>5
新しいハードウェア記述言語。わかりやすく言うとHDLだぉ
0008774ワット発電中さん
垢版 |
2017/04/22(土) 12:05:18.29ID:kkP4qGKz
(((((((((((((())(())))))(())((((((((((((((
(((((((((((((())(())))))(())((((((((((((((
(())))))))))(())))))(())(())(())))))))))((
(())))))))))(())))))(())(())(())))))))))((
(())(((((())(())))))))(((())(())(((((())((
(())(((((())(())))))))(((())(())(((((())((
(())(((((())(())))))(())(())(())(((((())((
(())(((((())(())))))(())(())(())(((((())((
(())(((((())(())))(())(((())(())(((((())((
(())(((((())(())))(())(((())(())(((((())((
(())))))))))(())))(((())))))(())))))))))((
(())))))))))(())))(((())))))(())))))))))((
(((((((((((((())(())(())(())((((((((((((((
(((((((((((((())(())(())(())((((((((((((((
))))))))))))))))(())))))))))))))))))))))))
))))))))))))))))(())))))))))))))))))))))))
(((())(((())(())))))(((())))(())))))))))((
(((())(((())(())))))(((())))(())))))))))((
))))))(((())))(((())))))(((())))(((((())))
))))))(((())))(((())))))(((())))(((((())))
))(((())(((((())))))(((((((((((())))((((((
))(((())(((((())))))(((((((((((())))((((((
))))))(())))))(())(((((((())))(())))(())((
))))))(())))))(())(((((((())))(())))(())((
(())))(((((((((((((((())))(((())(())))))((
(())))(((((((((((((((())))(((())(())))))((
))))))))))))))))(((((())(((())))(())(())((
))))))))))))))))(((((())(((())))(())(())((
(((((((((((((())))(())(((((((())(())))))))
(((((((((((((())))(())(((((((())(())))))))
(())))))))))(())))(())))(((((((())((((((((
(())))))))))(())))(())))(((((((())((((((((
(())(((((())(())(((())))(((((())(((())(())
(())(((((())(())(((())))(((((())(((())(())
(())(((((())(())(())(((((((())))))(())))))
(())(((((())(())(())(((((((())))))(())))))
(())(((((())(())))(((())(((())))(())((((((
(())(((((())(())))(((())(((())))(())((((((
(())))))))))(())(((())))(())(())))((((((((
(())))))))))(())(((())))(())(())))((((((((
(((((((((((((())(())(())))(())))))))))))))
(((((((((((((())(())(())))(())))))))))))))
0009774ワット発電中さん
垢版 |
2017/04/22(土) 20:17:23.26ID:rQ/UpuOJ
V3SっていうVisualStudioのアドインでコーディングしてみようと思うんだけど、使ったことある人いる?
0014おなかすいたな ◆NVKihJxAnGde
垢版 |
2017/05/05(金) 05:51:45.36ID:MF3AbpnJ
MAX10 4004 の高くて分厚い本が、半導体屋のプロがFPGAを書いた本として読むと非常に良く出来てる。
FPGA 中級者ー上級者 からステップアップしたい人にはオヌヌメ
0015774ワット発電中さん
垢版 |
2017/05/05(金) 09:08:28.46ID:/zYr3X/5
>>14
2相クロックだし、多分非同期設計だからFPGAへの実装は面倒くさそう。
0017774ワット発電中さん
垢版 |
2017/05/27(土) 18:00:11.13ID:wPQiVg0X
>>8
ほかのスレでも時々見るけど、これって2次元バーコードか何かなの?
0018774ワット発電中さん
垢版 |
2017/05/27(土) 18:09:21.11ID:wPQiVg0X
>>475
「上市」は一般の辞書には収載されていないが、「じょうし」と読み、
「新製品を市場に投入すること。新製品を売り出すこと」を意味する。
英語で表現すれば「launch」。医薬品業界、自動車業界などを担当するアナリストが多用する言葉だが、
医薬品業界では「承認された新薬を市販すること」の意で使用される。

ということで、いわゆる業界用語(造語)の類なので
知っているからどうこう言う言葉でもないようだ。
中国語とはたまたま同じような意味合いになっただけみたい。
0021774ワット発電中さん
垢版 |
2017/07/02(日) 03:20:28.80ID:CX2S1E87
久しぶりにVerilog1ソースの改造をしました。
モジュール接続を変えるだけなので簡単に思っていましたが、とても手間取り、未だにやっています。
なんでこんなに分かりにくいのか考えてみると、電気回路図のように上下左右に伸びて記述すべきものを、
上から下への1次元で表現するからだと気が付きました。

top階層はブロック図で書いて、ブロックの内部をVerilogモジュールで記述するほうが
分かりやすいと思いますが、みなさん そんなことは内でしょうか?
0022774ワット発電中さん
垢版 |
2017/07/02(日) 17:23:12.80ID:MY1hSEPk
最上位層をスケマティックで書いて、中身をVerilogで・・・ということなら、
PSoCなんかでは昔から普通にやってる。
0023774ワット発電中さん
垢版 |
2017/07/02(日) 20:49:39.59ID:qO9F0VjN
ASICなのかな?
FPGAもトップはIPコアを配置してブロック図で配線する。HDLは自動生成されるから楽チンだよ。
0025774ワット発電中さん
垢版 |
2017/07/03(月) 10:09:08.04ID:suXtUoV9
3階層くらいまではブロック図で書いてその下がVerilogだな。でも追加とか出ると
Verilogでやってブロック図にフィードバックができなくなって、うやむやで終わり。
結局最後に信頼できるのはHDLだけだな。
0026774ワット発電中さん
垢版 |
2017/07/03(月) 10:10:44.11ID:suXtUoV9
>22
PSOCってそんなに沢山ゲートがないだろ。
0028774ワット発電中さん
垢版 |
2017/07/03(月) 19:58:35.59ID:go14PhvY
>>24について私も気になるのですが、どなたかご回答いただけないでしょうか?
>トップという言葉の意味
0030774ワット発電中さん
垢版 |
2017/07/03(月) 20:50:01.70ID:go14PhvY
>>29
早速のご回答どうもありがとうございます。
また例まで提示いただきイメージがつかめました。
ありがとうございます。
0031774ワット発電中さん
垢版 |
2017/07/11(火) 04:16:25.34ID:faEb5wOK
Spartan6までしか使わないんですが、VivadoでSpartan6はできますか?
上に書かれたブロック図を見たら、ISEからVivadoに乗り換えてもいいな、と思えてきました
0036774ワット発電中さん
垢版 |
2017/07/12(水) 06:10:51.99ID:xrClexpS
>>33
ごめんなさい普通にレス間違えてました
他の方が言ってるように、6はISE、7以降はVivadoです
Vivadoだと6はサポートしてないです
0037774ワット発電中さん
垢版 |
2017/07/12(水) 17:06:36.17ID:wlKbi3Dl
ヴィヴァドゥ
つーかなんで平仮名の「う」の点々はないの?
0038774ワット発電中さん
垢版 |
2017/07/13(木) 03:49:36.90ID:ebjoa3nQ
>>37
そんなことより、Spartan6がVivadoで出来ナインだってね。
0039774ワット発電中さん
垢版 |
2017/07/21(金) 04:17:17.49ID:6mKHYWMT
そう、できないんだ。
なんでだろう。
もうSpartnシリーズはやめなのかな。
好きなんだけど
0040774ワット発電中さん
垢版 |
2017/07/21(金) 06:47:27.05ID:UbRmqVOX
S6はコストが安いから、多少の需要はあって案件もある
でも実際にはVivadoの方が案件が多いので全部Vivadoに移行して欲しいのが正直なところ
元請けより
0041774ワット発電中さん
垢版 |
2017/07/21(金) 07:15:48.17ID:fzzHpsaW
古いデバイスはISEでしかサポートしない。
ISEについてはもう更新しないと言ってる。OSがどんどん変わっていっても更新しないつもりなんかな。
手を施さずに放置して、Spartan6(を含む)以前のデバイスの自然死を待つみたいな感じだ。
0042774ワット発電中さん
垢版 |
2017/07/21(金) 13:18:06.71ID:4heuaL7h
新しいOSに対応しないのは、あんまり大した問題じゃないと思うよ
VMwareとかVirtualBox使ってもいいし、XPとか7入れたPC置いとけばいい
もっとも、ISE14.7はWindows10でも動いてるけど
0043774ワット発電中さん
垢版 |
2017/07/21(金) 22:24:11.51ID:fzzHpsaW
ちょっと前までは、ISEが正式に対応OSとしていない新しいOSで、使おうとして叩かれる人もいたのに。
もう7も入手できなくなるし、10にこの前のCreators Updateみたいな大きいアップデートが定期的に入るようになったら、やがて使えなくなりそう。
パソコン体験も含めて新しくこの世界に入ってくる人がXilinxの旧デバイスを使えなくなるのもそうそう先ではなかろうね。
0044774ワット発電中さん
垢版 |
2017/07/22(土) 03:02:09.35ID:tAdg9egc
>>43
将来のことは別にして、今のところWindows10でISE14.7動いてるよね?
そして「新しくこの世界に入ってくる人」が「旧デバイスを使える」って
ことが、そんなに重要なことなのかは疑問だな

あなたも「この世界に入った時」は、「旧デバイスを使う」ことじゃなくて
「最新のデバイスを使う」ことに魅力を感じてたと思うんだけど

どうしても旧デバイス使うために、古いOS使いたいって考える人は
(合法かどうかは別にして)色々方法あるわけで、そんなの若い人は
当然承知だろうから、あなたが心配することじゃないかも
0046774ワット発電中さん
垢版 |
2017/07/22(土) 12:33:12.12ID:VkaYZata
そうそう、パッケージがイヤだ。
QFPも入れておかないと、仕事で使えない。(コストが高くなる)
世の中の仕事で、BGAチップでなくて、QFPで済む仕事が半分以上なのに。
0047774ワット発電中さん
垢版 |
2017/07/22(土) 13:49:38.00ID:vBnj6Fxn
>あなたも「この世界に入った時」は、「旧デバイスを使う」ことじゃなくて
>「最新のデバイスを使う」ことに魅力を感じてたと思うんだけど
そうでもなかったな…
0048774ワット発電中さん
垢版 |
2017/07/22(土) 13:56:09.78ID:tAdg9egc
まあ、それは分かるし自分でも過去プロジェクトのメンテ用として
VirtualBox にXPとISE7とか10を入れてあるけど
開発してた当時の環境よりも逆に快適だったりする
0049774ワット発電中さん
垢版 |
2017/07/22(土) 14:07:49.29ID:vBnj6Fxn
>>45-46
俺もそんな感じ。
Xilinxはそういう客層との関わりから離れようとしてるんじゃないかな。
集中と選択、だろね。
0050774ワット発電中さん
垢版 |
2017/07/22(土) 17:09:37.93ID:XglvVRxM
>>47
最新のデバイスのultrascale使ってるやつとかいるのか疑問。

そろそろintelに移行するかな。
0052774ワット発電中さん
垢版 |
2017/07/29(土) 18:40:49.84ID:XstsHznG
LED : out std_logic_vector(17 downto 10, 7 downto 0);
みたいな定義をしたいんだけど、何かうまい方法ある?
0054774ワット発電中さん
垢版 |
2017/08/02(水) 18:01:13.17ID:fssG1CYf
>>52
基本的にはできない。
意図によってそれに変わる手段はあるかもしれない。

ほかの言語でも↓見たいな配列ってできないものがほとんどでしょ。
int a[17..10,7..0];
ただ、これも目的によってそれに変わる手段があったりもする。
0055774ワット発電中さん
垢版 |
2017/08/02(水) 20:09:34.56ID:gu7g6lts
歯抜けの配列作って何か便利なの?
255の次は1024になるかと思ってもそうはならないし。
0057774ワット発電中さん
垢版 |
2017/08/03(木) 09:14:34.59ID:WhLG6fxr
使わないビットは繋がなきゃいいだけだと思うのだが、
あえて、定義をしないってのがな。
0059774ワット発電中さん
垢版 |
2017/08/07(月) 19:10:58.72ID:q8k3v3br
合成レポートに警告出るのがいやなのでは?
レジスタとかを実装するときにword単位でreg定義するとそんな感じにできるといいなあと思うことがある。
0060774ワット発電中さん
垢版 |
2017/09/13(水) 19:26:48.33ID:6sGSZlbl
8bitのデータ幅じゃないROMやRAMのアドレス出すとき最下位をA0じゃなく、
A1やA2にしたいときはあるな。
ROM側のデータシートもA0以外から始まってることも多いので、
Asic側の信号名と整合取りたくなる。
そういう場合は普通に最上位階層から使わないA0等も出力しちゃって、
合成のときに使わない信号をVirtualPin指定してる。
0061774ワット発電中さん
垢版 |
2017/09/15(金) 14:59:12.36ID:slx9nfd5
A0も使っておかないとデバッグの時x2してとかになると煩わしい。こともある。
0063774ワット発電中さん
垢版 |
2017/10/26(木) 23:56:59.35ID:Yf7KEgau
最近ちょっとしたプロジェクトをSystemVerilogに書き換えてるんだけど、
interface機能いいわ。ポートまわりめっちゃスッキリする。
あとenumもModelSimが値を名前で表示してくれるからデバッグ捗る。
0064774ワット発電中さん
垢版 |
2017/12/09(土) 02:51:17.52ID:CpO3hyNQ
学校で教えてるのは、Verilogが多いと思う。
0065774ワット発電中さん
垢版 |
2017/12/27(水) 13:03:13.15ID:22mySsTl
家で不労所得的に稼げる方法など
参考までに、
⇒ 『武藤のムロイエウレ』 というHPで見ることができるらしいです。

グーグル検索⇒『武藤のムロイエウレ』"

K9OCPSVO8P
0066774ワット発電中さん
垢版 |
2018/03/13(火) 12:34:18.85ID:90f9nJid
東芝情報システム が 車載半導体技術者を募集しています なう
0067774ワット発電中さん
垢版 |
2018/03/14(水) 10:37:10.81ID:XfddRtpO
そういえば南武線の沿線にトヨタがしこたま求人広告出してたって話があったな
0068774ワット発電中さん
垢版 |
2018/03/15(木) 15:20:46.27ID:kI6BPI83
労働生産性の低い業種は人いかんやろ。
0069774ワット発電中さん
垢版 |
2018/03/26(月) 15:47:50.84ID:zDhlItNO
定石の記述テクニック的なことを紹介している書籍ってあまりないよねぇ
たとえば、VHDLで条件コンパイルってできないよなぁ
generateとかは使えるところに制約あるし
無理やりC用のプリプロセッサを通して使ってたこともある
型変換のリファレンスとか諸々まとまった書籍ないかな
0073774ワット発電中さん
垢版 |
2018/03/29(木) 21:23:27.50ID:u96GUgDf
テーブル作ろうと思って reg & initial で書いたら
initial は合成しないからねっ!て警告された。
ISE は出来たのに。dc のバカっ。
0075774ワット発電中さん
垢版 |
2018/03/29(木) 22:49:42.83ID:hOKwfj7J
design compiler(Synopsys)。

結局のところ、FPGAの回路設計とASICの回路設計は似て非なるもの、
と考えるのが良いんじゃないかな
0076774ワット発電中さん
垢版 |
2018/03/30(金) 00:11:21.22ID:kCXoPplu
結局、wire にして generate で書いたけど。
FPGA は ROM を使ってくるからテーブル使いやすいけど
ASIC だと BIST の問題もあるし、ROM は使いにくいからね。
ゲートに落とすと 1clock は厳しい。
0077774ワット発電中さん
垢版 |
2018/04/02(月) 00:15:31.58ID:JwQQEqY4
>>73
しないよ

リセット線が無いとどうしていいかわからないし

initial分かかれたものをどう半導体レイアウトにするんだ
0078774ワット発電中さん
垢版 |
2018/04/02(月) 07:43:39.94ID:tVqw9/vs
そもそも、論理合成する時点でinitial使っている時点でおかしいと思わないのか
FPGAですら
0080774ワット発電中さん
垢版 |
2018/04/02(月) 15:48:42.89ID:UH6nVK+8
周りの人に聞くことなく、ひとり独学でやっていると
時々ヘンなことをやってしまう場合があるね、Lonely合成なだけに・・・
0081774ワット発電中さん
垢版 |
2018/04/02(月) 19:05:49.56ID:5ENxwXa6
なかなか身の回りに詳しいのが居ないんよ
0083774ワット発電中さん
垢版 |
2018/04/02(月) 20:45:08.36ID:4QsISNxU
>>82
FPGAはリセット線無くても初期値決まるよね
ASICは通常初期値決まらないよね
そのデザインコンパイラーに、ROMを合成できるセルの部品はあるのかな。
通常フラッシュIPとか専用のを使ってFPGAとは比べものにならないくらい面倒になるんだけど
なんでinitialで合成できるのか教えてもらえますか?
0084774ワット発電中さん
垢版 |
2018/04/02(月) 20:49:29.86ID:4QsISNxU
わたくし勉強不足で独学でFPGAとASICを身につけたんでよくわからないんです。
あと派遣期間が長く転職回数も多いのですがどこか年収600万円以上もらえる仕事があったら教えてもらえますか?
0087774ワット発電中さん
垢版 |
2018/04/04(水) 00:15:43.43ID:fYJ4U2VL
年収低いけど
不正脈出るくらいの職場よりましかな?
でも、前の職場の方が楽しかったかもしれない。
0088774ワット発電中さん
垢版 |
2018/04/04(水) 06:38:59.94ID:WmskONQo
もう、技術以外で何を売るかを考えた方がいいよ。
0090774ワット発電中さん
垢版 |
2018/04/04(水) 06:41:36.28ID:Pkb8ccnt
臓器
0091774ワット発電中さん
垢版 |
2018/04/04(水) 07:09:01.76ID:WmskONQo
犯罪はだめだぉ。
0092774ワット発電中さん
垢版 |
2018/04/04(水) 07:42:19.68ID:TXXd8oqg
ぼきゅVHDLとう゛ぇりろぐを、IP化してうるわ
おまえら1デバイスあたり千円払うなら使わせてやるで
0093774ワット発電中さん
垢版 |
2018/04/04(水) 08:48:33.67ID:bZ8C2n/b
>>87
>不正脈出るくらいの職場

組織ぐるみで不正を行っているってこと?
0094774ワット発電中さん
垢版 |
2018/04/04(水) 10:21:21.76ID:zwEq6WSM
単純に火の車なんですよ
0098774ワット発電中さん
垢版 |
2018/04/08(日) 10:56:54.84ID:rCCRb1ol
ROMにするか組合せゲートにするかの境目は何?
0099774ワット発電中さん
垢版 |
2018/04/08(日) 11:46:23.68ID:XHtpeZ/Y
>>98
設計者の好み(というか得意不得意という面)が一番大きいんじゃない?

DRAMの制御回路(マルチポート化ロジック)なんかでも
バッファをSRAMにするかDFF(シフトレジスタ)にするか、
俺の周りでは設計する人によって2つの派に割れる
0100774ワット発電中さん
垢版 |
2018/04/08(日) 12:07:47.36ID:vxzksf4t
サイズと速度だな
16Byte程度以下ならゲート、それを超えるとROM/RAMを候補に
0101774ワット発電中さん
垢版 |
2018/04/08(日) 12:59:41.69ID:1Z9UvkuV
ROM/RAM 使ったら BIST が面倒くさいだろ。
仮レイアウト前にサイズも決めないといけないし。
0104774ワット発電中さん
垢版 |
2018/05/21(月) 02:01:24.37ID:N6M41IHY
質問お願いします。
XILINXのARTIX7を使ってみようと考えていますが、使う前にデータシートを読んでいます。
ピンの使い方は何となく分かるのですが、ROMから書き込みがいまいちわかりません。
INIT_B と PROGRAM_B は、何か違うのでしょうか?
使い方がイメージできずに困っています。
マイコンで言うMCLRピンは、どれになるのでしょうか?
0106774ワット発電中さん
垢版 |
2018/05/21(月) 09:46:27.70ID:4TnD0RWb
>>104
データシートより7 Series FPGAs Configuration User Guide読め
古いバージョンなら日本語版もある
0107774ワット発電中さん
垢版 |
2018/05/21(月) 09:56:56.97ID:+YPLsCwK
ユニークで個性的な確実稼げるガイダンス
暇な人は見てみるといいかもしれません
グーグルで検索するといいかも『ネットで稼ぐ方法 モニアレフヌノ』

TCKKJ
0108774ワット発電中さん
垢版 |
2018/05/21(月) 10:08:25.31ID:ZNp/W5B3
>>106
ありがとうございます。
コンフィグだけで取説があるんですか?
本体データーシートも入れると、皆さん1000ページ以上も読んでいるんですか?
_bの意味がやっとわかりました。反転論理の意味ですね。
n○○のほうがわかりやすい。
0109774ワット発電中さん
垢版 |
2018/05/21(月) 11:43:27.59ID:x0GPd2lL
FPGAで何がしたいのかわからんけど、まずは評価ボードを買って動かしてみる方がいいんじゃね?
0112774ワット発電中さん
垢版 |
2018/05/22(火) 19:39:03.72ID:BVOLgdXo
データシート、アプノートは
さらーっと必要なところ読むんよ
日本語版は時々古くて嘘書いてあるから
重要なところは最新英語版を当たること
0113774ワット発電中さん
垢版 |
2018/05/22(火) 19:47:58.18ID:8N9VW2rQ
上司に聞いて上司が出世しそうか考える。
0114774ワット発電中さん
垢版 |
2018/05/22(火) 23:16:17.90ID:wMRVGYZY
FPGA使った回路設計するなら、データシート・アプリケーションノート読むのも
大事だけど、評価ボードの回路図参考にするのは必須
あと、コンフィグ関係のピンをユーザーI/Oピンと共用するようなことは
事前に試して確認できないかぎりやらないこと
0115774ワット発電中さん
垢版 |
2018/05/23(水) 10:34:03.17ID:VDeJSuEE
>>114
評価ボードの回路図、参考はいいけど鵜呑みはイカン
内容理解して確認した上で設計しないと
市販品にもバグはある
0116774ワット発電中さん
垢版 |
2018/05/27(日) 07:31:00.41ID:TghQUmJ6
FPGA評価ボードで魔方陣の全解計算は出来ますか?
0117774ワット発電中さん
垢版 |
2018/05/27(日) 10:00:26.24ID:PdBhvnQO
>>116
サイズとか時間制約とか分からんけど
チューリング完全なら出来るんだろ、きっと。
0118774ワット発電中さん
垢版 |
2018/05/27(日) 10:07:32.60ID:7+Hv/v9Q
FPGAにCPU載せられるんだから、できるかできないかで言えば、できる。
0121774ワット発電中さん
垢版 |
2018/05/27(日) 19:18:21.30ID:X/cUURup
多分、5x5より大きな魔方陣の解の厳密な数は求まってない。
本質的に異なる解の数は、
5x5の時に275,305,224個。
6x6だと2*10^19ぐらいと見積もられている。
このサイズは時間的に無理だね。
0122774ワット発電中さん
垢版 |
2018/05/27(日) 19:26:01.24ID:S4YcNPa7
>>121
たぶんそれはCPUでALU1個での見積もりですよね。
ALU100個で一斉チェックしたら加速度的に早くならないかな。
0123774ワット発電中さん
垢版 |
2018/05/27(日) 19:33:16.96ID:a8DRxYzv
>121 の説明が正しいとすれば
 2*10^19 -> 2*10^17、になるだけじゃないの?
誤差のうちだな
0124774ワット発電中さん
垢版 |
2018/05/27(日) 20:13:08.55ID:X/cUURup
123 の言うとおり。

勘違いしないように言っとくと、チェックするもの全てが解になるわけじゃない。
5x5の場合、解数は2億個程度だけど、しらみつぶしに調べたら25!(=約1.5*10^25)個をチェックするはめになる。
スパコン京は、ALUが70万個ぐらいあるけど、このままじゃ全く歯が立たない。
まして100ALUなんてゴミ。

やってみりゃわかるけど、5x5だってかなり努力しないと数えられないよ。
0125774ワット発電中さん
垢版 |
2018/05/27(日) 22:43:28.77ID:xgXiLOis
話をすり替える人は黙って下さい。
0126774ワット発電中さん
垢版 |
2018/05/28(月) 23:39:15.90ID:VVCU05lv
>>116
>FPGA評価ボードで魔方陣の全解計算は出来ますか?

出来るといくらくれるの?
0127774ワット発電中さん
垢版 |
2018/05/29(火) 10:41:01.97ID:aGho2COM
アルゴリズムを固めるのが先
PC上のソフトでそれ組めないやつがFPGA上で実装できるとは思えない
0128774ワット発電中さん
垢版 |
2018/05/29(火) 11:20:26.12ID:Riarl8cu
CPU上のソフトをFPGAでハード実装すると速くなると幻想してる勘違いさんへ。
アプリにもよるが、単純に速度比較するとほとんどの場合FPGA実装はPC上のソフトより遅い。
ハード固有の最適化などを駆使してやっと、速くなることがあるようなもの。
CPUはコア数多いし、圧倒的にクロックが速い。
0129774ワット発電中さん
垢版 |
2018/05/29(火) 11:24:52.41ID:Riarl8cu
CPUは→最近のCPUは

fpgaで一桁以上速くできるのはかなりレア。
0131774ワット発電中さん
垢版 |
2018/05/29(火) 14:23:57.18ID:OxcIqcd8
まっとうな事いってるな
FPGAの速度は、昨今のCPUに比べて おおよそ 1/10
実際やてみれば直ぐわかることだが、意味のある回路を動かそうとしたら 400MHz 程度でもかなり厳しい

速度は1/10だが、例えば 並列で動かせる演算器は 100倍
結果、うまく使えば、10 倍速くできる
そんな風な使い方しないとメリット出せない

ようは、並列度を上げられないような事象以外へ適応しても 効果は期待できない
残念ながら、自然界ではそういう事が多いからな
0133774ワット発電中さん
垢版 |
2018/05/29(火) 19:35:56.92ID:K7oruib4
やって見せるのが先だろ。派遣のクソが
0135774ワット発電中さん
垢版 |
2018/06/15(金) 07:54:09.70ID:ElaqikfY
何で、リクナビで「QuEST Global Services Pte. Ltd.」という派遣会社を通じて、ソニー半導体で働く人を募集しているの?
0136774ワット発電中さん
垢版 |
2018/06/15(金) 08:00:52.13ID:ElaqikfY
>>135
著しい中小企業レベルの待遇で、最高収益を上げたソニー半導体企業で奴隷のように働かせる差別的待遇を、同一労働同一賃金の観点から説明をソニー社員の方から文書で頂かないと働きません。他をあたってください
0137774ワット発電中さん
垢版 |
2018/06/15(金) 08:11:50.40ID:ElaqikfY
>>136
朝から5chで、オレ様を正社員で採用せず、派遣で格安の給与で採用しようとするソニーという企業に一人労働闘争を5chでするだけの簡単なお仕事。
0141774ワット発電中さん
垢版 |
2018/06/26(火) 23:15:56.02ID:SFAb3Z6E
>>2にVerilogシミュレータがあるのにVHDLシミュレータがないのはなぜでしょうか?
0142774ワット発電中さん
垢版 |
2018/06/26(火) 23:21:19.18ID:i7I9Vv4S
誰も書かなかったからじゃない?
model SIM逝っとけとか思ってる人多い

iverilogに比べて何故か?GHDLはメジャーじゃない気はする
0143774ワット発電中さん
垢版 |
2018/06/26(火) 23:37:14.09ID:SFAb3Z6E
FreeHDLを使ってみているのですが、いまいち期待通りに動きません

VHDLを複数ファイルに分割すると、ここ↓と同じエラーが出ます
http://d.hatena.ne.jp/miyox/20061109

しかもコンパイル手順を直しても改善しません
私の環境のディレクトリ構成が悪いのかなと試行錯誤しているところです

GHDLの方が使いやすいでしょうか?
0144774ワット発電中さん
垢版 |
2018/06/27(水) 08:26:59.91ID:/XcloPUj
>2 の ・FPGA
に出てるFPGAベンダで、Free板の"modelsim"も提供してるとこが有るんだから それ使えって
皆そう思ってるでしょ

(無料)って書いてないから有料しかないと思ったのかな?
0145774ワット発電中さん
垢版 |
2018/06/27(水) 09:14:58.86ID:4ZI5lwCw
ハードウェア記述言語ってなんか
アンドロMDAに似てるな
0146774ワット発電中さん
垢版 |
2018/06/27(水) 09:26:18.30ID:3/mEpbiz
>>145
アンドロゲン依存性前立腺癌細胞株(MDA −PCa−2b) なんて難しいことを知ってるな!
0147774ワット発電中さん
垢版 |
2018/06/27(水) 10:15:09.33ID:m7y1cztS
Free板modelsim、
xilinxは止めちゃった
残ってるのはalteraだっけ?
あとmicrosemiか
他にある?
つーか、modelsim自体がなんだかよくわかんね
シエスタ?だかそんな名前の奴に統合予定?
0148774ワット発電中さん
垢版 |
2018/06/27(水) 10:38:40.36ID:72I1EgWD
>>144
ありがとうございます、試してみます
Icarus Verilogみたいに軽いと嬉しいです
0149774ワット発電中さん
垢版 |
2018/06/27(水) 13:26:53.08ID:/XcloPUj
インストールすると 1GB ぐらい、その意味では軽くは無い

他って言うと、
・ASICベンダ推奨ツール類 (高価)
(新車買うより遥かに高額だし、そもそも個人相手に売ってくれるのか?)
....

になるから、選択肢は多くは無い
0152774ワット発電中さん
垢版 |
2018/06/27(水) 17:34:09.72ID:NgiHYtTd
Altera版フリーのmodelsimが、単独でインストールできるから楽
verilogなら(フリーじゃないけど) veritak
0153774ワット発電中さん
垢版 |
2018/06/27(水) 19:37:50.58ID:LTYJpHhA
とりあえず以下2件ダウンロード中なのですが、どちらもサイズが大きいですね…

・Quartus付属のModelSim(あえて少し古めのバージョン)
・Active-HDL学生版

VHDLの学習用に、make一発ですぐ波形まで出せる軽いシミュレータがあるといいなぁ、と思っているのですが、ちょっとくじけそうです

>>152
Verilogならiverilogの方がWindowsでもLinuxでも使えていいと思います
0154774ワット発電中さん
垢版 |
2018/06/28(木) 00:12:29.41ID:WsYmkx+Z
前にも議論があったと思うけど、インストールに1GBはおろか10G,20GBが必要でも
問題になるようなことは考えにくいんだけどな

MS-SurfaceみたいなSSD交換不可なやつをぎりぎり一杯で使ってるとしても
インストール先をUSBドライブにすればいいだけで、それができないようなソフトは
少ないと思うけど
0156774ワット発電中さん
垢版 |
2018/06/28(木) 11:16:16.02ID:xbwfHRAw
どうにかQuartusのModelSimを試すことができました
ダウンロードは重かったですが、起動は軽かったので、いい感じのVHDLの学習環境を作れました
教えてくれた方はありがとうございました
0157774ワット発電中さん
垢版 |
2018/06/28(木) 11:35:24.34ID:/Z6Aj/He
ぼくがかんがえたFPGA三大参入障壁
・自分がどの実機を買うべきなのかわかりにくい
・開発環境をインストールするまでが長い
・コンパイル時間が長い
0158774ワット発電中さん
垢版 |
2018/06/28(木) 12:11:54.40ID:WsYmkx+Z
FPGAの開発環境は、クラウドベースにふさわしいと思うんだけど
どのメーカーも始めないね
0159774ワット発電中さん
垢版 |
2018/06/28(木) 13:04:19.65ID:5KP8NaWW
どんだけサーバーリソース食うか
恐ろしいんじゃね
C/C++の環境とはそれこそ桁が3〜4つくらい違いそうだ
0160774ワット発電中さん
垢版 |
2018/06/28(木) 13:26:46.54ID:WsYmkx+Z
当然無償とはいかないだろうから時間課金とかで
ハイエンドPCの10分の1くらいの時間で合成・配置配線できたら
需要はありそうなんだけどね

大昔、WebPackでHDL使えるようになる前のほんの一時期
無償で Xilinxがそういうの提供してたような・・・(記憶違いかも)
0161774ワット発電中さん
垢版 |
2018/06/28(木) 14:22:38.69ID:5KP8NaWW
時代は繰り返すTSSか。w

PC用のHDLベンチとかある?
合成/配置の時間を競うの
0162774ワット発電中さん
垢版 |
2018/06/28(木) 14:27:58.96ID:VsWFEnmJ
合成は たいした事無い
一番時間費やすのはシュミレーション
0163774ワット発電中さん
垢版 |
2018/06/28(木) 14:30:27.77ID:5KP8NaWW
うん、時間かかるから細切れでやってる
シミュレーション
0165774ワット発電中さん
垢版 |
2018/06/28(木) 20:55:56.18ID:Ue66jHRz
>>162
合成三日でインプリ失敗とかむかし大規模FPGAでやってたわ。

今民生品は、小さいのしか使わなくてわけわからん
0167774ワット発電中さん
垢版 |
2018/06/28(木) 21:56:04.89ID:Ue66jHRz
>>166
DSP48をフルに使って45万円したVertex5を4つ使ってとにかく早く動かす。簡単なお仕事で、使用率90%あたりから、タイミングメットしませーん。ウワーン。それを超えるチップ無いでーす
0168774ワット発電中さん
垢版 |
2018/06/28(木) 23:13:04.60ID:qxrhGPux
確かに90%超えたあたりからやたら時間かかるよね。
Symplify 使うと多少マシになる。
0169774ワット発電中さん
垢版 |
2018/06/28(木) 23:13:16.10ID:qxrhGPux
確かに90%超えたあたりからやたら時間かかるよね。
Symplify 使うと多少マシになる。
0170774ワット発電中さん
垢版 |
2018/06/29(金) 11:17:37.38ID:8w5CZ6Nh
配置配線のパラメタチューニングしたりする?
訳もよく判らず弄りすぎで大体元の木阿弥なんだけど
0171774ワット発電中さん
垢版 |
2018/06/30(土) 01:22:10.53ID:ubj+ZUwj
チューニングのやり方がわからない(ため息)
Lチカぐらい簡単なやつは10秒でコンパイルできればいいんだけど、最適化を完全オフにするパラメータってあるの?
0172774ワット発電中さん
垢版 |
2018/06/30(土) 21:09:03.10ID:Sfoi0kwX
(回路合成だけでなく)配置配線のツールもサードパーティが開発できればいいのにぃ
0174774ワット発電中さん
垢版 |
2018/06/30(土) 22:07:31.38ID:Sfoi0kwX
>>173
ちょっとやってみたいけど、NGDやNCDのフォーマットに関する公開資料なくね?
0175774ワット発電中さん
垢版 |
2018/06/30(土) 23:10:21.30ID:ZOEfo4cc
>>174
 http://www.clifford.at/icestorm/
 Project IceStorm aims at reverse engineering and documenting the bitstream format of Lattice iCE40 FPGAs

 Why the Lattice iCE40?
 It has a very minimalistic architecture with a very regular structure.

さぁやってくれ。
0176774ワット発電中さん
垢版 |
2018/07/01(日) 04:50:58.19ID:dOFnAt44
>>175
やっぱりリバースエンジニアリングになるのか(無茶言わんでくれ)

でもLatticeはノーマークだったから教えてくれてありがとう
0177774ワット発電中さん
垢版 |
2018/07/01(日) 08:44:29.19ID:21VsAuPz
正式に開発したいなら教えてくれるかもよ。
NDA いるだろうけど。
0179774ワット発電中さん
垢版 |
2018/07/03(火) 21:54:50.62ID:TUeFXinq
PVA
0182774ワット発電中さん
垢版 |
2018/07/15(日) 10:18:17.63ID:5+0mEq6F
>>75
>FPGAの回路設計とASICの回路設計は似て非なるもの
ほう、そのこころは?
0183774ワット発電中さん
垢版 |
2018/07/15(日) 11:22:47.11ID:vemc6UVS
わからんけど
FPGAはセル単位になってしまうとか?
0184774ワット発電中さん
垢版 |
2018/07/15(日) 11:34:53.49ID:vNqsj68u
FPGA だとデュアルポートRAMや演算器を優先的に使う設計するけど
ASIC だとシングルポートRAM、演算は出来るだけ単純化とかかな。
ASIC はプロセスにもよるけど。
それ以上に検証が違うけど。
0185774ワット発電中さん
垢版 |
2018/07/16(月) 08:35:43.62ID:Wtf6edTJ
ASICはテストパターンの労力で100倍違う
0186774ワット発電中さん
垢版 |
2018/07/16(月) 10:46:20.61ID:yJ/wSyff
10年以上前、フルスキャンで故障検出率100%にしたらテスト部門が喜んでた。
最近のテストはどうなってるのだろう?
0187774ワット発電中さん
垢版 |
2018/07/16(月) 11:24:20.42ID:3UJr0rsO
バックエンドは良く知らんけど、最近はゲートシミュレーションはやらないな。
故障検出はスキャンパス張るんだろう。
0188774ワット発電中さん
垢版 |
2018/07/16(月) 13:22:40.06ID:yJ/wSyff
RTLとネットリストはFormalityでチェック
自動レイアウトはAstroでタイミングドリブンレイアウト
10年前と大きく変わってないのかな。
0189774ワット発電中さん
垢版 |
2018/07/16(月) 13:24:27.45ID:wSop7A4q
おいしいところだけ食い散らかして逃げる強姦野郎はどこにもいる.。
0190774ワット発電中さん
垢版 |
2018/07/16(月) 14:37:36.95ID:3UJr0rsO
設計は Verilog で RTL 記述だから 20年前から変わってないぜ!
0192774ワット発電中さん
垢版 |
2018/07/16(月) 15:36:29.12ID:wSop7A4q
ビジネス用語: 無理やり種だけ入れてちゃんと育てずとんずら
0194774ワット発電中さん
垢版 |
2018/07/17(火) 04:42:03.49ID:cTyf8I5G
>>192
それやったわー
ASIC一人設計して、派遣やったんだけど年収430→440で辞めてきたー
そのあとは知らない。設計書は残してきた
0195774ワット発電中さん
垢版 |
2018/07/17(火) 07:28:05.71ID:7EqePAf9
千摺野郎もいる。
HDLのインデントが気に食わないので修正アップデートする奴。
0196774ワット発電中さん
垢版 |
2018/07/19(木) 16:47:49.09ID:nh5u8iIC
順列発生カウンタとか組合せ発生カウンタとかないね。
0197774ワット発電中さん
垢版 |
2019/01/02(水) 20:56:55.49ID:4FE0iZpC
最近Verilog勉強し始めたんだけど
論理回路を意識したほうが良いのだろうか
レジスタ記述はDFFを組み合わせてるところがプログラム的に理解できるけど
カウンタの記述とかはもうなんか論理回路が関係なく
マイコンのプログラムとあまり変わらない感じがする
0199774ワット発電中さん
垢版 |
2019/01/29(火) 00:40:42.08ID:T9JVzTtV
インテルかXilinxか
それが問題だ
0200774ワット発電中さん
垢版 |
2019/01/29(火) 03:53:26.19ID:Z2u9OB2K
wniの鈴木里奈の脇くっさ
      (6 lゝ、●.ノ ヽ、●_ノ |!/
         |     ,.'  i、     |}
       ',     ,`ー'゙、_    l
       \ 、'、v三ツ   /
        |\ ´  ` , イト、
       /ハ ` `二 二´ ´ / |:::ヽ
     /::::/ ',   : . . :  /  |:::::::ハヽ
https://twitter.com/ibuki_air
https://twitter.com/5chan_nel (5ch newer account)
0201774ワット発電中さん
垢版 |
2019/02/04(月) 09:45:38.85ID:qh3PYBZy
>>197
カウンタもDFFの組み合わせには見えないの?
0202774ワット発電中さん
垢版 |
2019/02/05(火) 03:11:29.97ID:UE5XxRte
>>197
Verilog カウンタ で思い出したけど、
a++;
のような書き方って、できるようになったのかな? 以前は、
a << a + 4'd1;
と書かないといけなかったんだが。
0204774ワット発電中さん
垢版 |
2019/03/17(日) 20:05:03.44ID:HrvmmVbu
ここが適当かわからないんですが、アサーションで、Aという信号がHのとき、Bクロック信号が、発振してることを確認したいです。周波数は不明とした場合、確認できる方法ありますか?
0205774ワット発電中さん
垢版 |
2019/03/24(日) 23:11:22.82ID:PFDyOjPv
>>204
BのエッジでAをFFに取り込むってのは?
一定時間変化しない場合リセット

でも周波数が不明ってどういう状況よ
0206774ワット発電中さん
垢版 |
2019/03/25(月) 06:22:05.79ID:N77oml4f
>>205
PLLの設定をするHDLの挙動がおかしくて
意図した通りに設定できてるか確信が持てないということでは?
0209774ワット発電中さん
垢版 |
2019/03/26(火) 21:48:14.34ID:FtnUFOrJ
クロックが正常発振してることより、
そのクロックがつながってるPLLがロックしてることで調べることはできないの?
0210774ワット発電中さん
垢版 |
2019/03/26(火) 21:52:52.94ID:FtnUFOrJ
>>197
プログラムさえできれば十分
MatlabがHDLコード吐いてくれる
状態遷移図さえ書けばHDLコード吐いてくれるツールもある
日本でHDLコード書いて給料もらえる会社て
ルネぐらいしか残ってなかったりして
0213774ワット発電中さん
垢版 |
2019/03/29(金) 07:47:14.23ID:K3zucI53
>>202
ブロッキングだからカウンタとかには使わない方が安全
0215774ワット発電中さん
垢版 |
2019/04/06(土) 11:02:03.35ID:dX23tMPq
a <= ++a;
なら大丈夫だけど、だったら
a <= a + 1’b1;
で良いだろう
0221774ワット発電中さん
垢版 |
2019/08/12(月) 19:25:53.24ID:n1fA+4aw
ARM内蔵のSoCFPGAを軽く使ってみたけど、
メリットを享受するには、ライセンス料払わなあかん。
そうでないならio操作にmmap多用する事になり、いちいち遅い。
これなら素直にNios2使った方が良いのでは?と疑問。
0222774ワット発電中さん
垢版 |
2019/08/12(月) 20:07:13.96ID:L6YIiAC1
一旦mmapしたら、後は単純 Read/Write だけだろ
主張してる事の意味がわからん

ライセンスうんぬんも、具体的に何を指してるか不明
有料IPの事を指してるのならそれは当然

ARM-SOC が余りにも安過ぎて、"ARM内蔵FPGA" に殆どメリットを見出せない
これが個人的な感想だな
0224774ワット発電中さん
垢版 |
2019/08/13(火) 08:26:34.13ID:HUMCNrTF
そうなのか。俺が悪かった。
0225774ワット発電中さん
垢版 |
2019/08/15(木) 15:44:15.54ID:pV/rv6F9
株式会社クイック 経由でFPGAの転職を探してはならない。
0230774ワット発電中さん
垢版 |
2019/09/09(月) 07:55:42.02ID:cA9O0egb
Niosの周波数によるけど、
Nios100MHzで動かしたものと比べて、
Arm側からのmmapでのアクセスが遅いなんてことは全くないが。
0231774ワット発電中さん
垢版 |
2019/09/12(木) 20:56:46.77ID:qr9N38Lr
>>128
ハード的にプログラムしてしまえば、命令のフェッチが不要になるから、高速化出来る。
0232774ワット発電中さん
垢版 |
2019/10/06(日) 11:15:36.16ID:dxym0Gow
速くなるのはそこじゃない。パイプライン使えばフェッチ中、別なステージを実行できる
ループを回路展開して1クロックで実行できるようにするとかだな。
0233774ワット発電中さん
垢版 |
2019/10/11(金) 09:42:14.51ID:nhTX4VfJ
自作の論理合成、最適化をやっていると驚くべき良い結果が出てくることがある。
きっとこれが定理とか法則の発見だろう。
0235774ワット発電中さん
垢版 |
2019/10/11(金) 23:39:21.72ID:aG0iLRs1
>>234
そういう理性的なレスやめようよ。
0238774ワット発電中さん
垢版 |
2019/10/21(月) 23:05:29.93ID:dnB1jmr8
シミュレーションでは仕様通りに動いて、
論理合成が行われない回路を書く新人君が
「奇術言語はそろそろ卒業しような」と説教されていた
0240774ワット発電中さん
垢版 |
2019/10/24(木) 21:07:26.08ID:VkbRp6QV
会社で新人を苛め抜くお前たちを見た。プロジェクトは解散だな。会社もかも
0242774ワット発電中さん
垢版 |
2019/11/16(土) 13:05:46.77ID:9Ewau4oB
シミュレーションで仕様通りに動くんだから、
HLSでやれる仕事アサインするのが正しい仕事の割り振り方
新人だろ?たいしたもんじゃねーか。
俺が入社したときは、今頃、京橋のxx学園に全員集められて最後の集合研修やってたぞ。
0243774ワット発電中さん
垢版 |
2019/12/19(木) 20:21:58.06ID:hnxpTC/s
vhdlのsignalで値を定義しないで使った場合、論理合成後にどう扱われるか決まりってある?コンパイラによる?
0245774ワット発電中さん
垢版 |
2019/12/20(金) 18:20:38.12ID:T+tY9xlp
多分、宣言したけど初期値も代入もしていないsignalを参照したときの値だと思う
0として扱われるはず
合成時に警告が出る
0246774ワット発電中さん
垢版 |
2020/01/03(金) 00:33:56.15ID:ur/EQKxz
signalの宣言で初期値のかわりにport名を定義したときはどうなりますか。
以前試したときはportのエイリアスになったが、ググってもそれが定義された動作なのか未定義でツール依存なのか判断つかなかった。
0249774ワット発電中さん
垢版 |
2020/01/11(土) 16:17:34.08ID:j8wuoBDS
シミュレーションでは仕様通りに動くんなら、
当面テストベンチ作成要員にしとけばええがな
そのうち論理合成可能なHDL書けるようになるわ。
0251774ワット発電中さん
垢版 |
2020/02/06(木) 16:32:47.87ID:voYgKVfD
すなわち論理合成可能なHDL書くにはおれのような非凡な才能が必要で
おまえらがいくら修行しても無理だと言いたいのだ。
0254774ワット発電中さん
垢版 |
2020/06/09(火) 15:57:38.51ID:yFlSchCo
2進数の10bitで来た信号(10進数の0〜999)を
10進数の桁ごとに分けて2進数の出力をしたいんですが
d739 (10'b1011011100) → d7 (4'b0111) 、d'3(4'b0011)、d'9(4'b1001)

今は来た信号を100で割り算して百の位を出力、
出力を百倍して元の信号と引き算して10で割り算して十の位を出力。。。
のような流れで割り算、掛け算+減算の階段でやっているのですが
掛け算、割り算を用いないでもっとスッキリした方法はありますか?

極力軽い動作にしたいのですが、初心者のため思いつかないので
ここに知恵を借りに来ました。
0256774ワット発電中さん
垢版 |
2020/06/10(水) 09:12:42.72ID:KfgmSXBS
>>255
(´・ω・`)無いんですね・・・
0257774ワット発電中さん
垢版 |
2020/06/10(水) 11:34:53.81ID:5NH3QB02
100で割ってから100倍するのは明らかに無駄
10で割りながら下の桁から処理するのが定石
0259774ワット発電中さん
垢版 |
2020/06/10(水) 12:28:54.54ID:5NH3QB02
あっちに答え書いてあったけど
上限が判ってるならテーブル参照が最速かもな
0260774ワット発電中さん
垢版 |
2020/06/10(水) 13:03:45.83ID:KfgmSXBS
>>257
そもそもの原理が無駄が多かったですね・・・
ただ、現在は乗除算無しで考えていこうとしているので
中々思いつかない現状です。

>>258
パラレル処理?のことなんですかね
どういった内容になるのか詳しく知りたいです。

>>259
1000個の参照ポイントを用意するって感じですか?
0261774ワット発電中さん
垢版 |
2020/06/10(水) 13:35:47.86ID:wDcrBWNv
10bit目観れば512以上か未満か判るだろ
512以上なら5,6,7,8,9のどれか
512未満なら0,1,2,3,4,5のどれか
次に9bit目を観る
・・・
これをパラでやる
0262774ワット発電中さん
垢版 |
2020/06/10(水) 15:17:57.31ID:ylnvimF5
2進数を入れたシフトレジスタの左にBCDを格納するシフトレジスタをつなぐ
BCD側のレジスタは全て0にして、下から4ビットずつに区切る
以下の手順を2進数の桁数分繰り返す
BCD各4ビットで0101以上なら0011を足す
1ビット全部左シフトする
0263774ワット発電中さん
垢版 |
2020/06/10(水) 15:18:09.43ID:obppSqvF
ROM(RAM)を使ったテーブル参照が、 ベスト
最近のヤツなら、BlockRAM:一個程度の消費で済む
既に 100% BlockRAMを使い切ってるてのは、 まず無いいからな
(大量に使うヤツでも、万一の為にそなえ数個程度は残しておくのが普通)

下手な回路を考えてるより、 よほど賢い
0264774ワット発電中さん
垢版 |
2020/06/11(木) 17:22:12.60ID:W5gPWE03
100を4回引き算して百の位、余りから10を4回引いて十の位
こんなのでできた気がする。
0271774ワット発電中さん
垢版 |
2020/09/13(日) 08:33:21.93ID:vc8Q3h+7
SystemVerilogなら良いのか?
結局は自分が追いつけない物に文句言ってるだけだろう
0272774ワット発電中さん
垢版 |
2020/09/13(日) 11:52:34.31ID:etV4yc3F
ボキュ
SystemVerilogも使えるから、年収800万円以上出せるなら転職を考えてやってもいいけど、そういう会社が無いのは何で
0273774ワット発電中さん
垢版 |
2020/09/15(火) 00:40:54.18ID:E4CR/7Zf
verilog始めたばかりです。
100mhzで1クロック幅のパルスを
50Mhzの回路のenableとして使ってるんですが実機の基板で動作しないときがあります。こういうときはどうするのがよいですか?独学だから難しい。
0275774ワット発電中さん
垢版 |
2020/09/15(火) 07:00:57.87ID:gSh24P2r
100mHz なら動きそうだけど
100MHz だと50MHzより狭いんだから動かなくて当たり前。
0277774ワット発電中さん
垢版 |
2020/09/15(火) 12:26:57.90ID:l62r70fB
100MHzの間違い。
シミュレーションだと動くんだけど。
単純にパルスを伸ばせばいいのかな。
やってみます。
0278774ワット発電中さん
垢版 |
2020/09/15(火) 14:10:04.48ID:DLPjG/C3
100MHzで2クロック分のパルスじゃないと50MHzのクロックでは叩けないよ。
あとは、この2つのクロックが同じ発振源から作られていることとか、
クロックの位相(50Mと100Mのクロック立ち上がりの時間差)なんかも
ちゃんと規定しておかないと、実機では再現性のある動作が期待できないよ
0279774ワット発電中さん
垢版 |
2020/09/15(火) 16:43:27.54ID:l62r70fB
>>278
ありがとうございます。
100MHzから50MHzを作ってます。
同じクロック元です。
2クロック幅にしてクロック制約調べて入れてみます。
0280774ワット発電中さん
垢版 |
2020/09/15(火) 20:43:10.88ID:vUS3KOT2
100MHz enable 立てる
50MHZ enable立っているの発見 ack上げる
100MHz ack上がったの確認 enable下げる
50MHZ enable下がったの確認 ackを下げる


送信元クロックで2回FFで叩いて
受信元クロックで1回FFで叩いて
信号をやりとりする

というのが基本だと思っているんだが、どうよ
0283774ワット発電中さん
垢版 |
2020/09/15(火) 22:17:32.57ID:1RBfeO/K
なるべく複数のクロックソースを使わないのが基本だよね
どうしても必要なら>>280のようなこと(よく読んでないけど)もやるけど
0284774ワット発電中さん
垢版 |
2020/09/16(水) 06:28:03.80ID:prDJsXXH
出力バッファが弱いだけだろう。
0285774ワット発電中さん
垢版 |
2020/09/21(月) 00:27:36.95ID:GkH9nrzm
100MHzの源振で、100MHz clockで1clock作り出すというのだから、↑↓で2clock必要だから、
↓の時点で100MHzが食ってくれそうな気もするけどね。
ホールド不足だろうか。
0286774ワット発電中さん
垢版 |
2020/09/24(木) 22:55:18.39ID:MNKMYjwb
100MHzで1クロックのパルスを10kHzとか遅いクロックで使うにはどうするの?引き伸ばすの?
0289774ワット発電中さん
垢版 |
2020/09/25(金) 12:49:28.05ID:a8sjFznc
>>286
HD映像データ(約74MHz)用メモリの空き領域に音声データ(48kHz)を居候させて、
出し入れする制御は実際に昔やったことがある。
メモリは74MHzで動いているから、読み出したデータは48kHzの1クロック期間ホールドする。
スピード差は3桁(1000倍以上)だが問題ない。
0290774ワット発電中さん
垢版 |
2020/09/26(土) 10:29:23.78ID:HwNr3S2N
音声を映像と同じクロックで扱わなきゃいけないのがもったいない。

でもマルチサイクルパスとかクロック載せ替えやるとバグのもと。
0291774ワット発電中さん
垢版 |
2020/09/26(土) 17:42:50.05ID:SIeDHPXx
シミュレーションでは動くのに実機で動いたり動かなかったりする。
0292774ワット発電中さん
垢版 |
2020/09/26(土) 18:40:19.23ID:/F9E71nl
遅延シミュレーションでも掛ければ、スグに正体現すよ
死ぬほど時間掛かるから、余程の事が無いとやらんけどな
大概は、論理シミュレーション & 制約MET -> 実動作で確認、で済ませてる

趣味でやってる人で、制約掛けてるヤツなんて殆ど見たこと無い
その結果が ↑の >シミュレーションでは動くのに実機で動いたり動かなかったりする
そりゃ、そうなって当然だって
0293774ワット発電中さん
垢版 |
2020/09/26(土) 21:30:16.62ID:aMPCxEFy
遅延シミュレーションとか90nmの時代までかな。
STA 通れば問題にならないよ。
0294774ワット発電中さん
垢版 |
2020/09/27(日) 07:47:01.92ID:YDOHU9dy
やっぱりそうだよね
STAあるのにインプリメント後のSimなんて意味が分からんわ
0296774ワット発電中さん
垢版 |
2020/09/27(日) 14:31:24.64ID:h/07VqFn
STAはパンクするのではないか
0297774ワット発電中さん
垢版 |
2020/09/27(日) 17:33:06.81ID:mJ54mVso
FPGAでもSTAはあるな。
ってか、制約付けずに合成するかな?
ピン配置すら決めてなさそう。
0298774ワット発電中さん
垢版 |
2020/09/28(月) 15:23:52.03ID:ZofM1AV5
新人の時CLKをCLKでそのまま打ってインプリ毎にグローバルに乗ったり乗らなかったりを繰り返し、最終版で見事グローバルから低温でしかエラーしないタイミングで外れ大規模不具合を引き起こしたぼくが通るぞ
0299774ワット発電中さん
垢版 |
2020/09/28(月) 15:26:06.35ID:ZofM1AV5
新人僕「タイミングチェックってHoldもできるんですか?え?Holdって低温時のほうが厳しくなるんですか??」
0300774ワット発電中さん
垢版 |
2020/09/30(水) 20:57:34.72ID:r8TT/iid
東芝がシステムLSI事業から撤退、だそうだ。
https://monoist.atmarkit.co.jp/mn/articles/2009/30/news050.html

15〜20年くらい前、東芝・NEC・富士通などに発注して
DRAM混載のシステムLSI(今ではSoCと呼ぶ人のほうが多いのか?)
を度々作ってもらっていたのが懐かしく思い出される。
設計はVerilog。VHDLに出会うことは無かったな。
0302774ワット発電中さん
垢版 |
2020/09/30(水) 21:18:56.40ID:ye+f7ILK
切りすぎて最後に残るのは経営陣とその太鼓持ちだけになる
0303774ワット発電中さん
垢版 |
2020/09/30(水) 21:46:52.33ID:dh8TWTMG
入社するときは優秀な人たちが馬鹿になって行く不思議な会社
0304774ワット発電中さん
垢版 |
2020/09/30(水) 22:52:15.26ID:dT7ATEy0
>>300
DRAM混在はあんまりなかったはず。プロセス違うからね。
VHDLってどこで使われてるんだろう。
米軍向け?
0305774ワット発電中さん
垢版 |
2020/09/30(水) 23:25:46.90ID:R7ENorU3
物好きな大学教授が「バグを検出しやすいから」とか言ってVHDL推してたわ
なおその教授の研究は昔から全然進んでない
0306774ワット発電中さん
垢版 |
2020/10/01(木) 07:48:41.32ID:ASWYfv44
DRAM混在ASICを作ってもらえるユーザーは数社しかない。ほとんどが値段聞いてビビる。
0308774ワット発電中さん
垢版 |
2020/10/01(木) 10:27:25.04ID:iLDU4h9d
利点があったってあまり使われてない言語なんて履いて捨てる程あるからな!
ばるてのーんとかw
0309774ワット発電中さん
垢版 |
2020/10/01(木) 12:14:13.29ID:x9B4VcDd
信号処理でverilogなんてバグしか生まないクソ言語つかうない
0310774ワット発電中さん
垢版 |
2020/10/01(木) 13:28:39.40ID:Okjn6PWg
そういう場合、使えないのは言語でなくてお前のほう。
かと言って、Lint でガチガチにするのはやめてくれ。
0312774ワット発電中さん
垢版 |
2020/10/01(木) 16:45:09.93ID:7DdZbF3O
>>303
311原発事故の東電の人たち観て思った
0314774ワット発電中さん
垢版 |
2020/10/02(金) 13:13:46.95ID:JHsKCZ+8
SpyGlassなんて高級品は使えません。
RTLは外注に出すのがスタンダードになったからキツイ。小規模なやつだから自分で作ろうとすると無償ツールしか使えない。
0315774ワット発電中さん
垢版 |
2020/10/03(土) 05:06:36.62ID:CwnxhYG9
いま、16bitの信号処理をverilogで書き出したら、掛け算の結果のビット間違えて、検証にどえらい時間がかかるから、VHDLで書いているんだけど

なんか文句あっか
0319774ワット発電中さん
垢版 |
2022/07/01(金) 21:25:57.03ID:JLmDZESx
こんなに待ってもレスがないなんて…
まさか合成できないのか!?
0322774ワット発電中さん
垢版 |
2022/09/15(木) 14:39:59.19ID:xS5+mJXV
CとかMATLABで設計できる時代には、結局まだなってないのか。
まー、好き勝手な回路作られても困るしな
0323774ワット発電中さん
垢版 |
2022/09/16(金) 10:58:17.47ID:RZbdKLa3
手作業で合成するんですか?それとも、ユーザーが論理回路書いたら
開発環境みたいなのが論理展開して最小な構成案とか提示してくれるんですか?
0325774ワット発電中さん
垢版 |
2022/09/16(金) 12:18:30.08ID:dO0gy88g
>>323
合成は開発環境がしてくれるんだよ。
でも、開発環境に食わせる回路に、いくつかのレベルがあって、云々。
メジャーなのは、多少の論理回路(あーだったらこーなるみたいな)を同期回路(F F)で叩いて繋げていくやつなのかな。20年以上前からそうだったと思うけど、トランスファーレベルとか言ってたような気がする。もう忘れた。
0326774ワット発電中さん
垢版 |
2022/09/16(金) 12:54:25.48ID:rofojeSk
今でもRTL(レジスタトランスファロジック が基本。
それがわからない新人が「エラーが取れないんです〜」って泣きついて来る。
0327774ワット発電中さん
垢版 |
2022/09/16(金) 13:12:35.81ID:RZbdKLa3
なんかよーわかりませぬが便利そうですのぅ 

FPGAを使う予定はないけれど、
その機能目当てで開発環境だけインスコすっかな(^p^;フヒヒ
0328774ワット発電中さん
垢版 |
2022/09/16(金) 16:28:18.77ID:dO0gy88g
>>327
簡単なキット買ってLチカとかすると案外面白いよ。タイミングとかあんま意識しなくてもいいし。
0329774ワット発電中さん
垢版 |
2022/09/16(金) 16:29:25.49ID:dO0gy88g
>>326
ありがとうございます。そーか、RTLて言葉すら忘れてました。
0330774ワット発電中さん
垢版 |
2022/09/16(金) 17:43:51.80ID:+NHZKjH5
RTL = Register Transfer Level かな。
職場では慣用的にVerilog-HDLで書いた「論理回路のソースコード」の意味合いで使っているな。
「お前の書いたRTLはいつも見易いな/見難いな」とか。
0332774ワット発電中さん
垢版 |
2022/09/17(土) 06:33:37.41ID:Xx7itxc5
うちの会社はVHDLだった。中にはシミュレーションだけVerilog-HDLの人もいたけど
0333774ワット発電中さん
垢版 |
2022/09/17(土) 09:42:29.39ID:J4bNj1zy
テストベンチをRTLで書けって言われたら… 出来ませんだよな。
0335774ワット発電中さん
垢版 |
2022/09/17(土) 18:22:11.13ID:Xx7itxc5
結局、FPGAは実機で動かしてデバッグしていくのが効率的なのかなぁ。昔はRS232cでFPGA内蔵マイコンと通信してデバッグしてたけど、今も変わらんのかな?
0336774ワット発電中さん
垢版 |
2022/09/17(土) 20:43:26.45ID:J4bNj1zy
流石に全部実機は辛かろう。ファンクショナルだけでもシミュレータでやるのがいいと思うが。
0338774ワット発電中さん
垢版 |
2022/09/18(日) 11:54:20.30ID:fpWrlkpV
今思い出したけど、自分は基本的な動きはテストベンチ作って状態遷移とか確認して、そのあとMATLAB/Simulinkでシミュレーション系を作って、RTLを読み込ませるプロックセットを使って検証していた気がする。なんか、転職したから全部忘れてしもうた。趣味でまた始めたいんだけど、なかなかね。
0340774ワット発電中さん
垢版 |
2022/11/17(木) 12:42:41.55ID:a+plK6vp
タイミング制約がめんどい
つけなくても動いてるけど
ツールは制約付いていないと文句言ってるけど
0342774ワット発電中さん
垢版 |
2022/11/17(木) 22:44:09.39ID:a+plK6vp
入力クロックだけfreq値与えてmetすればおkでつか?とりあえず
0343774ワット発電中さん
垢版 |
2022/11/17(木) 22:46:34.15ID:a+plK6vp
こんかいのは繋ぐデバイスが遅いから手抜き
以前serdesで500MのADC繋いだときは
ギリシャ
0344774ワット発電中さん
垢版 |
2022/11/30(水) 11:09:22.21ID:fAyEbzni
こんにちは
VHDL(1993/2008) numeric_stdを使用している状況で
32bitを超えるカウンタを生成するにはどういったアイデアがあるでしょうか?
std_logic_arith、std_logic_unsignedを使用しているときはstd_logic_vectorで良かったかと思います。

メモリアドレスカウンタを作りたいのですがメモリサイズが大きく32bitでおさまらないのです。
よろしくお願いします。
0345774ワット発電中さん
垢版 |
2022/11/30(水) 12:33:41.35ID:xUuO9dJi
32bit=4G(ギガ)で収まらないアドレスカウンタとは、
どのくらい大容量のメモリを使う予定なの?
例えば1チップで32GbitのDRAMを使う場合でも
構成が4Gアドレス×8bitだったりするからね。
それにDRAMのアドレスの場合、RowとColumnの2つに分けて入れるから
アドレスカウンタのビット数はもっと小さくて済むよ。
4Gアドレスなら、Row(24bit)×Column(8bit)みたいな感じ。
0346774ワット発電中さん
垢版 |
2022/11/30(水) 12:57:27.31ID:fAyEbzni
レスありがとうございます
メモリはDIMMで8GB/16GBを想定しています
メモリコントローラはipを使います
実際データ幅は32bit以上なので下位bitを省けば32bitでまかなえると思いますし
カウンタを分離する方法でもいいかとも思っています
ただし、何かアイデアがあればと思いお伺いさせて頂きました
0347774ワット発電中さん
垢版 |
2023/03/12(日) 21:17:10.43ID:cGxMlPMj
ロケットのアレは電源系からの回り込みノイズも考えられる
今の電源関係は電圧低いからねぇ

ノイズ周りはパチンコ屋が強いんだっけ?
レスを投稿する


ニューススポーツなんでも実況