X



トップページ電気・電子
1002コメント312KB

【FPGA/CPLD】 XILINX/ALTERA/Lattice/Actel #27

■ このスレッドは過去ログ倉庫に格納されています
0476774ワット発電中さん
垢版 |
2019/09/18(水) 20:03:31.93ID:4vOu1i0B
>>469
日経の基準なんかアテになるかよ
電球から原子炉まで、これが出来るのが
日立、東芝、三菱だけ
まあ原子炉は問題多過ぎて撤退したようだが
火力発電や水力発電所の大型発電機、重工業用の特殊モーターや制御装置までとしときましょうか
もちろん家電もコンピュータもね
要するに重電出来るか否かが判定基準
出来るところは総合電機メーカーとも言う
ソニーやパナは弱電家電なので論外
NECはNTTの御用聞きの電話交換機メーカーがパソコンで育っただけで論外でリストラしまくりでオワコン
富士通は通信機器からのコンピュータ関連で論外
シャープに至っては電卓屋出身で論外
工業用の機械を製造し大々的に販売してない会社が電機を名乗れるかよ
電気器具製造業ならまだしも
電気機械器具製造業の従業員1万人以上の大会社で検証してみ
0477774ワット発電中さん
垢版 |
2019/09/18(水) 20:11:07.53ID:4vOu1i0B
>>475
>広く浅く
別の言い方をすると
どれもこれもかじっただけでプロではない
最も求められない状況
現在稼げるのは一つか二つのジャンルを世界最先端のレベルでディープに追求し、規格制定に携われるレベルの人だけだな
そのレベルでもリーマンなら2000万手取りが最高レベルだが、日本では
日本以外なら倍貰える
中小企業の下請けとかなら、広く浅くが向いてるかもね
だって人手不足で一人で5役とかなんでしょう?
中小企業の役員クラスなら手取り800とかですかね
知らんけど
0478774ワット発電中さん
垢版 |
2019/09/18(水) 20:19:42.22ID:HQqSUuo9
>477

おまえの目節穴だな。

広く浅くは厳密にはプロじゃないけど、日本の社会ではそういう人がゼネラリストになってゆく。
逆に素人目に技術面でのみのプロは、労働集約型の労働環境で搾取されるのみ。(こと規模は問わず、派遣や中途はこの手)

なので、割と大手に居ると、「なんでこんなプロがこんな薄給で働いてるんだ」「プロって何のために居るの?」ってなるんだよ。
そんなことも知らない段階で厨房レベル確定。 不合格。
0479774ワット発電中さん
垢版 |
2019/09/18(水) 20:24:22.76ID:HQqSUuo9
あと俺の目には>>476はちょっと論点がずれてるように思う。
大手電機6社(現在は8社か)の定義は、世間一般が決めることだから、
結局のところ。絶対基準がないので、世間で注目されている業績の企業って括りでピックアップされるから、
日経なんかが取り上げる数社が大手電機の定義でいいと思うよ。
0480774ワット発電中さん
垢版 |
2019/09/18(水) 20:30:38.44ID:4vOu1i0B
>>478
ふーん
別に他人の給料や状況はどうでもいいや
自分の手取りだけが本当の問題なので
業界の知識とか必要ないなー
ゼネラリスト?
それで稼げるならご自由にどうぞどうぞ
稼げなくて愚痴こぼすなら嫁にでも言えば?
0481774ワット発電中さん
垢版 |
2019/09/18(水) 20:34:42.12ID:HQqSUuo9
ゼネラリストってなにか知らないんだなwww

会社の社長さんとか最低でも部長職以上のことだよ。
そういう人の給与のほうが一般社員より稼いでるのは自明だよねぇ?w
0482774ワット発電中さん
垢版 |
2019/09/18(水) 20:37:34.21ID:HQqSUuo9
で、あんたの言うような、業界標準を策定するような要員は、
せいぜいで主任で人生終了。
課長にもなれないでリーマン人生終了。

そういう理不尽な世界なんだって、日本は。
0483774ワット発電中さん
垢版 |
2019/09/18(水) 20:42:03.86ID:4vOu1i0B
>>479
世間が決める、ってのは間違いだな
今じゃどうか知らんが、
ベースアップやボーナス額の発表や基準は、最大手の日立が決める
それに並ぶか一段落とすかは東芝三菱が決める
その他は三社の動向を見て一段か二段落とす
これが伝統的な流れ
世間が認識してるのはここまで
今じゃソニーパナの方が稼ぎがいいかもね
兆円単位で原発の損きりしたから東芝とかボロボロだし
大手電機メーカーってのが理系就職人気ランキング上位って意味ならソニーパナは入っていいいよ
ソニー銀行とかソニー損保がどうなるか知らんがな
厳密には旧通産省が決めたことなので、日経とか世間とかが決めることじゃないね
日本の経済活動上優位に立てるようにあえて3社に集約したわけ
自動車製造会社の数が制約されてるのと同じ理由だね
0484774ワット発電中さん
垢版 |
2019/09/18(水) 20:46:30.55ID:4vOu1i0B
>>481
>そういう人の給与のほうが一般社員より稼いでるのは自明だよねぇ?w
雇われ社長よりも給与が多い人はいっぱいいるがな
そんな簡単なこともわからないの?
給与が低い一般社員にはお知らせすると軋轢を生むかもね
ごく最近では日本でも一部の会社がスペシャリストに高報酬を出してると報道され始めたが、昔からだよ
0486774ワット発電中さん
垢版 |
2019/09/18(水) 20:50:00.78ID:4vOu1i0B
>>482
日本って落ちぶれたな
ほんとにそう思うわ
なぜそんなボロにしがみついてるのか不思議
誰もかれもが西海岸に行きたくてしょうがない、行ったらどうするって話ばっかの雰囲気あったのにね
まあ、行けなかった落ちこぼれが騒いでるだけか
0487774ワット発電中さん
垢版 |
2019/09/18(水) 20:50:49.38ID:HQqSUuo9
おまえ、本当に中二病だな・・・。

「どこが?」と思うなら、そのお前の書いた文章をお前がよく知る人に見せてどう思うか問うてごらん。同じこと指摘されるから。

>厳密には旧通産省が決めたことなので、日経とか世間とかが決めることじゃないね
>日本の経済活動上優位に立てるようにあえて3社に集約したわけ
>自動車製造会社の数が制約されてるのと同じ理由だね

大手電機6社時代の通産省による編成と補助金交付の話を、今の時代に同じように大手電機8社に適用して語るのはあほだろ。
0488774ワット発電中さん
垢版 |
2019/09/18(水) 20:52:35.71ID:4vOu1i0B
>>473
>知ってるなら教えてやらいいのに

>まぁ、中途半端な知識をひけらかしてほかの奴に突っ込まれるリスクを考えたら
>自分では説明しないで偉ぶった方が頭がいいか

純日本的思考の方はそうだろう、リスク管理も出来ないと自白
で?
頭がどうこうって同調圧力かけて正論をブッコワス?
美しい日本がこれ
0489774ワット発電中さん
垢版 |
2019/09/18(水) 20:57:05.82ID:HQqSUuo9
>>486

自分も正直言って分からなくなってきてる。

自分はゼネラル候補だったけど、技術者志望だったので、
我を貫いたら、なんだか微妙な立ち位置になっていた。。。。

普通に設計や管理の意味で仕事に困ることはないが、これといった「顔」がない。

ただ、食べるに困らない給与を貰い、そこそこ楽しい職場に恵まれたことだけを
満足の材料にするんだろうなって思ってるよ。

自分とは別に本当に社長になりそうな勢いで上り詰めてるサルがいるんだが、
そのサルはデザインハウスにサインオフ条件の指示を誤り、
某品種で大損害を出した。DRの場では非難の荒らしだが、
役員に守られて、お咎め一切なし。

もうやってられません。
0491774ワット発電中さん
垢版 |
2019/09/18(水) 21:02:44.31ID:HQqSUuo9
「バカの壁」とか借り物の言葉で罵倒する稚拙さが命取り。

自分の言葉では正しいことが何一つ主張できない人なんでしょうね。
0492774ワット発電中さん
垢版 |
2019/09/18(水) 21:12:36.28ID:4vOu1i0B
>>489
結局は会社員なら、会社にもたらす利益の総額
大失敗大損失でもトータルで大利益なら昇進
失敗ゼロでもトータルで小利益なら昇進はない
もしもトータルで大損失奴をかばってるならその会社は潰れるから転職おすすめ
0493774ワット発電中さん
垢版 |
2019/09/18(水) 21:14:06.34ID:4vOu1i0B
>>491
それがまさにバカの壁
説得するだけ無駄
だから、わかる人だけレスください
わからない、わからないといくら主張されても説明義務はないので
0494774ワット発電中さん
垢版 |
2019/09/18(水) 21:15:43.96ID:HQqSUuo9
>>492

本当に中二だなwww

世間は結局好き嫌いのレベルの官能評価だよwww
それ以上は考えてないwww
じゃないとあのサルの失敗はリカバリーできる金額じゃないんだwww

少なくとも俺の勤務先では。あんたの勤務先だと>492のような事なんだろうと思うけど。でもそれって本当ならかなり恵まれているように思えるな。(笑)
0495774ワット発電中さん
垢版 |
2019/09/18(水) 23:09:05.32ID:4vOu1i0B
>>494
その会社マジでヤバイよ
某東芝の子会社で吸収合併の成り上がりで直下の子会社になったのがあって、
そこの統括責任者が古い飲み友達だったけど、
今日ン億円の赤伝切ったわ、ガッハッハ的なのを趣味の集まりの笑い話で持ち出して来て、コイツこんなにヤバかったかな?一人のエンジニアとしてはアベレージを超えてたとは思ったが
ってのを最後に本社ごとめっちゃくちゃになった
金勘定もマネージメントも出来ないエンジニアに管理させる程の人材不足になったら、逃げ時だよ
退職金満額貰えるうちにさ
家のローンとかどうなったんだろうか、音沙汰ないけど
んで、そのサルはさ単に気分次第で上に気に入られて、馬車馬してる肉体労働バカなんだろ?
手綱を持つ軍師がいなきゃ、暴走しっぱなしじゃないの
まあ、体育会系の押しが強い猛将も使い道があるが、所詮は馬なんだよなぁ
誰かが運転しなきゃ崖から飛び降りるな
0496774ワット発電中さん
垢版 |
2019/09/18(水) 23:23:46.91ID:4vOu1i0B
もう一個思い出したけど
時価総額3000億円の会社なんだぜ!と目の前で言い放ったオーナー社長がいて
数年後に株価0になった上に逮捕されてたのもいたわ
時価総額兆円未満ならウエットな人間関係でも経営できるけど、兆円越えしてるのはことごとくウエットな創業連中をばっさり切って、人間を将棋の駒の如くドライに動かして、捨て駒をどんな状況でも正確に切れる奴だけだな
管理対象が300人までならギリギリ顔と名前を一致させて個性を発揮させてやれるけど、1000人オーバーなら数字で管理した方が楽だし、それ以外の方法はないね
個人的な感想で申し訳ないけど、もう数字しか見てないわ
0499774ワット発電中さん
垢版 |
2019/09/19(木) 17:40:36.27ID:Xnjg0mVd
CEATEC 2019事前情報:電池駆動も可能な超低消費電力AI、Latticeが展示 - EE Times Japan
https://eetimes.jp/ee/spv/1909/18/news028.html


ラティスセミコンダクターは、電池駆動の実現も可能な低消費電力、オンデバイスのAIソリューションやハードウェアルートオブトラスト(RoT)を実現するための組み込みセキュリティブロックが搭載されたFPGA、
フレキシブルなビデオコネクティビティのためのMIPI D-PHYハードIPを搭載したFPGAに注力。同社のFPGA製品であるECP5、CrossLink、MachXO3D、iCE40 UltraPlusのそれぞれについて、「特徴を最大限活用したデモを展示する」としている。
0500774ワット発電中さん
垢版 |
2019/09/19(木) 17:59:12.27ID:4IcCMwUi
分かる人いたら教えてください。
XILINXのVivadoでFPGA回路作ってるんだけど
コンフィグ保存用のSPI Flashはサポートが明記されているモノ以外は
認識しないですかね?

適当にピンコンパチのSPI Flash使ったら
Unable to read device properties.
Please make sure that the proper configuration memory part is selected.
と言われて書き込みできませんでした。

Vivado上でメモリパーツを選ぶ際に選択肢になかったので
メモリサイズが同じ別のデバイスを選択しました。
Vivado側からメモリデバイスをチェックしているということなんでしょうか?
0501774ワット発電中さん
垢版 |
2019/09/19(木) 18:10:45.22ID:afO3bf+X
プロトコルが互換なら大丈夫でしょ
そもそもどうやって違うって認識するのさ
0502774ワット発電中さん
垢版 |
2019/09/19(木) 19:20:29.98ID:reGYDuNg
SPI FlashのIDを読んで認識するので、
騙すバッチを書く裏道がある



しらんけど
0503774ワット発電中さん
垢版 |
2019/09/19(木) 19:22:47.24ID:DK10YOOy
使えるのと使えないのがある
たまたま使えたのかもしれないけど深くは考えなかった
サポート対象品を購入して解決おすすめ
万一動かない場合があると地獄なので
0504774ワット発電中さん
垢版 |
2019/09/19(木) 19:59:04.28ID:Cp3ud8a5
皆さんありがとう!

今回初めてなので
どこに問題があるか中々切り分けられなくて。

SPI通信用の四本のうちFPGAからの出力三本は
クロックを含めそれなりに波形が出ていたので
フラッシュの相性ってあるのかなと
疑問に思ったんです
ID見てチェックしてるのなら
認識できないフラッシュがあるのも納得できました

ちなみになんですけど
うまくフラッシュに書き込めた場合は
次回からパソコン使わずに基板の電源投入だけで
いきなり動き出すようになるんですよね?
0507774ワット発電中さん
垢版 |
2019/09/19(木) 21:44:22.55ID:RgwHl9li
>次回からパソコン使わずに基板の電源投入だけで
>いきなり動き出すようになるんですよね?

そんな初心者なのに、なぜサポートされていないflashを使うようなことを……
冒険者だな

回路とか間違ってなければFPGAが読み込んでくれるはず
0510774ワット発電中さん
垢版 |
2019/09/20(金) 01:03:55.56ID:lEnsFrzY
コンフィグ時はid見ないけど、vivadoからの書き込み時はチェックされる

ISEと違って誤魔化せない
0511774ワット発電中さん
垢版 |
2019/09/20(金) 01:42:33.34ID:e7LRKdeI
一度の積和演算を数サイクルに分解して演算器を使い回しするにはHDLでどう書けばいいでしょうか?
0513774ワット発電中さん
垢版 |
2019/09/20(金) 02:49:44.82ID:e7LRKdeI
例えば1クロックでx=a*b+c*d+e*fのように演算させるところを掛け算器一個だけで3クロック使って演算させたいです。
コンパイラはvivadoを使っています。
0514774ワット発電中さん
垢版 |
2019/09/20(金) 03:34:18.65ID:FTHfOXc5
こんな感じ?

module top(input clk, output [31:0] x); // x = 2 * 3 + 4 * 5 + 6 * 7
wire [31:0] x;
reg [2:0] s = 3'b001;
reg [31:0] a;
reg [31:0] b;
always @(posedge clk) s <= {s[1:0], s[2]};
always @* begin
case (s)
4'b001: {a, b} <= {32'd2, 32'd3};
4'b010: {a, b} <= {32'd4, 32'd5};
4'b100: {a, b} <= {32'd6, 32'd7};
endcase
end
addmul addmul_i(clk, a, b, x);
endmodule

module addmul(input clk, input [31:0] a, input [31:0] b, output reg [31:0] x);
initial x <= 0;
always @(posedge clk) x <= x + a * b;
endmodule
0515500
垢版 |
2019/09/20(金) 06:21:12.37ID:WXu8L0BW
>507
ホントですよね……
つい手元にあったもので試してみちゃいました……

>505,506
回路はUGまんま参考にしました
SPI x1 で接続なので、VivadoではSPI x1を選択、
FPGAのmode端子もSPIモード指定してます。
FPGA自体にはプログラムできて、動きました(JTAG only モード)
flashへの書き込みだけがうまく行ってないです

>507,510
参考になります!
ありがとうございます!

まずはちゃんとサポートされてるflashに替えて試してみます!
0516774ワット発電中さん
垢版 |
2019/09/20(金) 06:31:39.17ID:9LPEbQCR
フラッシュ壊れてるだけじゃ
0518774ワット発電中さん
垢版 |
2019/09/20(金) 08:26:22.50ID:9LPEbQCR
>>513
>例えば1クロックでx=a*b+c*d+e*fのように演算させるところを掛け算器一個だけで3クロック使って演算させたいです。
>コンパイラはvivadoを使っています。

これ新人君で先輩から課題を与えられて、考えることが出来ないと予想しましたが如何でしょうか
0519774ワット発電中さん
垢版 |
2019/09/20(金) 11:28:54.63ID:+GcNTj9b
>>516
FPGAが出力するデータ信号に対して
SPIから応答(何らかのシリアルコード?)があるので
動いていると思います。
0520774ワット発電中さん
垢版 |
2019/09/20(金) 18:43:05.06ID:BhNxKRUi
>>518
初心者にはちょうどいい難易度ですよね
私は学生さんの宿題だと思いました
0522774ワット発電中さん
垢版 |
2019/09/20(金) 22:15:01.89ID:S7S2XRUC
確かISEの時はwindowsの環境変数にIDチェックを
スキップする変数を書けばできた

vivadoでもあるのかな?
もしダメなら代理店に相談すれば
色々と教えてくれるよ
0524774ワット発電中さん
垢版 |
2019/09/21(土) 03:42:29.20ID:CZ4G2qEn
インテルがアル寺を買収したかった最終目的のはコレ?
もうQuartusがしょぼすぎてXiに乗り換えちゃったけどさ
0525774ワット発電中さん
垢版 |
2019/09/21(土) 05:19:06.01ID:FpIEYZ5F
いんや
Intelは100Gbit/sや400Gbit/sのLANをCPUに統合する際にTCP/IPオフローディングをFPGAで狙ってる
規格がどう転んでも真っ先に対応するたにFPGAとの自由な統合が必要
そして世界初のリードを保てるうちはいくらでも儲かる
の予定だったがFabがなー
セキュリティホールでCPUがなー
AMDがXiとくっついたら面白いが、ファブレスとくっつく意味が薄いのも事実
0526774ワット発電中さん
垢版 |
2019/09/21(土) 05:28:37.94ID:FpIEYZ5F
>>513
ここは学生の宿題に答えるスレじゃないし、課題は自分自身の独力で解かなきゃ何の意味もないよ
単位だけが欲しいなら、外注すれば?
仕事頼めるサイトあるじゃんか
それを使ってインド人にでも頼め
はっきり言って、こんな所で初歩の初歩を教えてもらって育ったような人材はどこにも活躍の場はない
30分本読むなりネットで調べるなりして
15分で書いて
15分でテストベクター作れる程度の頭が無いなら、不向きとしか言いようが無い
数学が苦手な奴が保険会社に入って、保険料の算定を任されたとして、何ができるんですか?ってレベルで合ってない
0527774ワット発電中さん
垢版 |
2019/09/21(土) 07:06:04.69ID:sRg7wqGi
>>526
専用ソフトにパラメータ入れたら金額が出るよ
君が手計算でシミュレーションしないのと同じだね
0528774ワット発電中さん
垢版 |
2019/09/21(土) 08:11:17.48ID:M79TnONa
たとえ話はある程度同じ認識をもつ人間同士でしか成立しないから人を諭すときには不向き
0529774ワット発電中さん
垢版 |
2019/09/21(土) 09:34:47.20ID:tUaSTEPm
ム板とか電磁気学では宿題よく見るけど
FPGAとかで宿題依頼観たのは初めてな気がする
0530774ワット発電中さん
垢版 |
2019/09/21(土) 10:39:32.25ID:HNm7PNSl
>>525
こけてxilinxに負けて、intel事業潰してaltera無くなりそうな未来まで見えてます
0531774ワット発電中さん
垢版 |
2019/09/21(土) 14:40:48.48ID:RA8e93u/
>>524
デバイスの性能云々以前にツールがダメダメでデバイスを使えないという
なんかIntelに買収されてから微妙になってきた気がする
0532774ワット発電中さん
垢版 |
2019/09/21(土) 16:15:49.80ID:2tUV7FKn
今後、CPUだけで自社Fabを維持できないのが見えてたからな

Foundry ビジネスでも始めるつもりで手に入れてはみたが
当のプロセスが失敗続きでメロメロ状態、本業の自社CPU供給すら不自由する始末

あとは皆さんご承知の通り
0534774ワット発電中さん
垢版 |
2019/09/21(土) 16:53:32.58ID:UQo4Fk/4
どっちかというとXIの方がツールはダメじゃね?

まわりもみんなquartusの方が使いやすいと言うが
0535774ワット発電中さん
垢版 |
2019/09/21(土) 19:40:46.26ID:RA8e93u/
使いやすさとかじゃなくて最新デバイスを使うときのバグ
まともにFittingできなくて、ここには書けないが前に色々とあった
今は知らんけど

最新デバイスという面ではXilinxは安定してるね
UltraScaleやUltraScale+がリリースされてすぐ使ったけど、そんなにハマらなかった
0536774ワット発電中さん
垢版 |
2019/09/21(土) 19:48:28.27ID:M79TnONa
vivadoはビビるぐらいgit管理しづらいけど
quartusはどうなん?
0539774ワット発電中さん
垢版 |
2019/09/22(日) 05:59:19.88ID:tVb5aCz+
そもそも一般人には入力となる情報がそんな低遅延では届かない
0540774ワット発電中さん
垢版 |
2019/09/22(日) 10:47:50.29ID:T9xnJX+K
通信先との距離がワンブロック以内の物件を借りられるとは思えない
しかもバックアップとの多数決で正常判定するなら最低3つの別の建物を借りる必要がある
トレーダーの下請けの仕事とか面白くないの確定だろ
種銭も集めなきゃ
0542774ワット発電中さん
垢版 |
2019/09/22(日) 18:58:41.77ID:5TYJ+bLK
CMエンジアリング は 元沖電気工業の半導体部門だって知っているよ
FPGAでソフトウェア無線つくっていたから二次面接までいってる
0544774ワット発電中さん
垢版 |
2019/09/22(日) 19:20:53.15ID:g+yZR7PN
quartusも同じくらいには大変なんじゃないかな?
結構サクサクだったりするの?
0545774ワット発電中さん
垢版 |
2019/09/22(日) 21:16:46.75ID:WxmRmj+7
quartusの方が全然軽いしコンパイルも早い

ただvivadoの方が比較すると機能てんこ盛りで
先進的な取り組みをしている印象
0546774ワット発電中さん
垢版 |
2019/09/22(日) 21:34:52.37ID:9p2JJ/ya
それはそれは…
今後、Alteraへの乗り換えを本気で検討します
0547774ワット発電中さん
垢版 |
2019/09/23(月) 14:26:48.24ID:pS2tfkgi
Alteraはフィッタだけであとは全部他社のお試し版バンドルしてるだけだろ
とくに買収されてからまったく動かなくなった。シミュレータも機能制限のModelsimだけじゃなかった?
SystemVerilogも使えないし。
VivadoはSystemVerilogどころかもっと高位設計だってできる。どの程度使い物になるかは知らんけど。
もう最近FPGA使ってないんだよな
CPUだけでほとんど事足りる。
0548774ワット発電中さん
垢版 |
2019/09/23(月) 16:03:20.83ID:m06XleCj
プロジェクト内に管理対象を置くとバージョン管理がしづらくなるから外においたほうがいいよと言いつつ
何かとデフォルトで中に置こうとするvivadoちゃん
0549774ワット発電中さん
垢版 |
2019/09/23(月) 20:09:10.92ID:CdevNA2+
>>547
おまえAltera使ったことないだろ?ウソをつくな
0552774ワット発電中さん
垢版 |
2019/09/24(火) 01:25:17.87ID:+W0kBq/Y
ひとまず>>547の内容は奇跡的にすべて間違ってる
ちょっとググれば分かることなのにな
0554774ワット発電中さん
垢版 |
2019/09/24(火) 18:23:39.23ID:pDclc2z+
>>536
Quartusは常識的に管理できる
Vivadoみたいにタイムスタンプやら中間処理状態をファイルに入れたりしない
0555774ワット発電中さん
垢版 |
2019/09/24(火) 18:25:31.19ID:pDclc2z+
機能はどうあれQuartusの方が使い易いな
しかしVivadoはほぼ全機能がタダで使えるのはデカい
0556500
垢版 |
2019/09/24(火) 19:02:41.70ID:PnDLnGHL
>all
メモリ替えたらちゃんと認識しました。ただ
cannot set enable bit or blocks protected
というエラーが発生して書き込めませんでした。

フラッシュ側のWRITE ENABLE用の端子は
外部でアクティブ側に固定しています。

考えられるのはVivado側で設定が足りないのでしょうか?
初心者が間違えやすい箇所とかあったら
教えてもらえると嬉しいです。

メモリは128Mb
SPI x1
特に変わった設定にはしていません。

訊いてばかりでスミマセン。
0557774ワット発電中さん
垢版 |
2019/09/24(火) 21:44:33.02ID:qjuDX0Uk
>>549
>>550
>>552
どこがまちがってるかいってみろ。
アルテラ工作員かチンカス野郎ども

QuartusはSystemVerilogのシミュもできないmodelsimの機能制限版しかついてねぇじゃねーかwwww
あいかわらず。
Xiとちがって、完全にツール進化の停止してしまったのが
Intelに買収されてからのアルテラだ。

ちがったら言ってみろカスが。
0558774ワット発電中さん
垢版 |
2019/09/24(火) 21:54:46.17ID:qjuDX0Uk
論理合成はついててもおまけ程度。
Synplifyの足下にも及ばんかったが
最近はまともになったのか?アルテラはwwwww
ああいうのはお試し版よりまだひどいわな。

Quartus = 他社の機能制限お試し版寄せ集めバンドル
0559774ワット発電中さん
垢版 |
2019/09/24(火) 23:17:15.10ID:ffa48dX8
ID:qjuDX0Uk がこういう考え方してても、別に誰も損はせんよね。
たくさん人がいれば、いろいろな人がいるのは仕方がない。
0561774ワット発電中さん
垢版 |
2019/09/24(火) 23:29:49.59ID:RyQ74zDR
>>557
なにをそんなに熱くなってるかわからないけど、一度冷静になって、最近の状況を確認した方が良いんじゃないかな。
シミュレーションの話に関しては間違えてるよ。

以前から(たぶん2000年ぐらいから)Quartus Primeのシミュレーション環境にはModelSimが提供されてる。
フリーウェアのStarter EditionでもVerilog, SystemVerilog, VHDLをサポートしてる。混合もOK。
Starter Editionには10,000行までという制限がかかってる。シミュレーション速度にも制限があるようだ。

Qsysの前身のSOPCBuilderが入ってきた頃からシミュレーション環境がModelSimに移行した。
その前の波形入力ベースのシミュレーションがあるのかは知らんけど、もう使うことは無いでしょ。
IPのシミュレーションでVHDL-Verilogの混合シミュレーションが必須になってきて、言語制限も撤廃された。

現在のStarter EditionのModelSimは10.5b。
行数制限があるとはいえ、ライセンス無しで言語の制限も無いStarter Editionは趣味や学生には非常にありがたい。
Quartus抜きで単独でインストールできる、ってのもメリットに感じる。
0562774ワット発電中さん
垢版 |
2019/09/25(水) 06:48:57.14ID:zlA4DT1A
>>561
アタマのおかしい人はほっておきましょう。
バカな工作員だから

ウソをウソと見抜けないと、FPGAを使うのは難しいwwww
0565774ワット発電中さん
垢版 |
2019/09/25(水) 07:36:03.62ID:MSn767aw
mixed-language の対応は、Quartus II v15.0 以降らしい
だから、もう少し後だね (2015年頃)

以外と最近の事だったんだな
0566774ワット発電中さん
垢版 |
2019/09/25(水) 07:45:18.38ID:MSn767aw
> 行数制限があるとはいえ、ライセンス無しで言語の制限も無いStarter Editionは趣味や学生には非常にありがたい。
> Quartus抜きで単独でインストールできる、ってのもメリットに感じる。

その制約を受ける側ではないけど、これには同意だね
ライセンス料は、趣味の人や学生が気軽に払える価格じゃないからな

その通りだと思うよ。
0568774ワット発電中さん
垢版 |
2019/09/25(水) 20:40:09.12ID:AEGtQLK9
Quartusは分からんけど、Vivadoは化石マシンを使ってる俺にはちょっと厳しいものがあるよ
PaaSの開発環境ないのかな
0572774ワット発電中さん
垢版 |
2019/09/27(金) 00:08:19.10ID:w1rD1MGI
>>561
間違えてるのはお前
modelsim はQuestaとちがってSystemVerilog構文全対応ではないのだよ。

https://www.mentorg.co.jp/products/fpga/verification-simulation/modelsim-starter/compare/

だいたいmodelsimなんて終わったツールを、しかも機能制限版カタワバージョンをいつまでもバンドルしてどーするつもりだ。
AlteraはIntelに吸収されてから、外販で稼ぐ道はあきらめて、IntelのFPGA部門としてやっていくわけだwwww
結局シェアがそれを物語っている


>>563
まだそこにいたのかゴミ野郎
0575774ワット発電中さん
垢版 |
2019/09/27(金) 09:28:04.40ID:lKxvGWCZ
>>572
System Verilog 対応してたって合成出来ないしどうでもいいだろ。
本気で検証するならあると便利だけどな。

仕事で Questa 使ってんの?ご愁傷様。
■ このスレッドは過去ログ倉庫に格納されています

ニューススポーツなんでも実況