X



トップページ電気・電子
348コメント98KB
【Verilog】 記述言語で論理設計Project15 【VHDL】 [無断転載禁止]©2ch.net
0001774ワット発電中さん
垢版 |
2017/04/21(金) 21:48:16.41ID:KqIjhH63
HDLの処理系も、それを実際に動かすシミュレータ・評価基板も、
安価で入手できるようになってきました。

このスレが目に入ったお嬢さん! HDLで何か作って遊んでみませんか。
日曜工作のHDL書き、学生さん、プロの方、主婦の方、カキコお待ちしております。
関連情報は >2-10 あたり。

入れ食いキーワード
・Nios、MicroBraze
・Artix-7、Kintex-7、Virtex-7、ハードコアCPU内蔵 Zynq-7000

※前スレ
【Verilog】 記述言語で論理設計Project14 【VHDL】
http://rio2016.2ch.net/test/read.cgi/denki/1470611688/
0088774ワット発電中さん
垢版 |
2018/04/04(水) 06:38:59.94ID:WmskONQo
もう、技術以外で何を売るかを考えた方がいいよ。
0090774ワット発電中さん
垢版 |
2018/04/04(水) 06:41:36.28ID:Pkb8ccnt
臓器
0091774ワット発電中さん
垢版 |
2018/04/04(水) 07:09:01.76ID:WmskONQo
犯罪はだめだぉ。
0092774ワット発電中さん
垢版 |
2018/04/04(水) 07:42:19.68ID:TXXd8oqg
ぼきゅVHDLとう゛ぇりろぐを、IP化してうるわ
おまえら1デバイスあたり千円払うなら使わせてやるで
0093774ワット発電中さん
垢版 |
2018/04/04(水) 08:48:33.67ID:bZ8C2n/b
>>87
>不正脈出るくらいの職場

組織ぐるみで不正を行っているってこと?
0094774ワット発電中さん
垢版 |
2018/04/04(水) 10:21:21.76ID:zwEq6WSM
単純に火の車なんですよ
0098774ワット発電中さん
垢版 |
2018/04/08(日) 10:56:54.84ID:rCCRb1ol
ROMにするか組合せゲートにするかの境目は何?
0099774ワット発電中さん
垢版 |
2018/04/08(日) 11:46:23.68ID:XHtpeZ/Y
>>98
設計者の好み(というか得意不得意という面)が一番大きいんじゃない?

DRAMの制御回路(マルチポート化ロジック)なんかでも
バッファをSRAMにするかDFF(シフトレジスタ)にするか、
俺の周りでは設計する人によって2つの派に割れる
0100774ワット発電中さん
垢版 |
2018/04/08(日) 12:07:47.36ID:vxzksf4t
サイズと速度だな
16Byte程度以下ならゲート、それを超えるとROM/RAMを候補に
0101774ワット発電中さん
垢版 |
2018/04/08(日) 12:59:41.69ID:1Z9UvkuV
ROM/RAM 使ったら BIST が面倒くさいだろ。
仮レイアウト前にサイズも決めないといけないし。
0104774ワット発電中さん
垢版 |
2018/05/21(月) 02:01:24.37ID:N6M41IHY
質問お願いします。
XILINXのARTIX7を使ってみようと考えていますが、使う前にデータシートを読んでいます。
ピンの使い方は何となく分かるのですが、ROMから書き込みがいまいちわかりません。
INIT_B と PROGRAM_B は、何か違うのでしょうか?
使い方がイメージできずに困っています。
マイコンで言うMCLRピンは、どれになるのでしょうか?
0106774ワット発電中さん
垢版 |
2018/05/21(月) 09:46:27.70ID:4TnD0RWb
>>104
データシートより7 Series FPGAs Configuration User Guide読め
古いバージョンなら日本語版もある
0107774ワット発電中さん
垢版 |
2018/05/21(月) 09:56:56.97ID:+YPLsCwK
ユニークで個性的な確実稼げるガイダンス
暇な人は見てみるといいかもしれません
グーグルで検索するといいかも『ネットで稼ぐ方法 モニアレフヌノ』

TCKKJ
0108774ワット発電中さん
垢版 |
2018/05/21(月) 10:08:25.31ID:ZNp/W5B3
>>106
ありがとうございます。
コンフィグだけで取説があるんですか?
本体データーシートも入れると、皆さん1000ページ以上も読んでいるんですか?
_bの意味がやっとわかりました。反転論理の意味ですね。
n○○のほうがわかりやすい。
0109774ワット発電中さん
垢版 |
2018/05/21(月) 11:43:27.59ID:x0GPd2lL
FPGAで何がしたいのかわからんけど、まずは評価ボードを買って動かしてみる方がいいんじゃね?
0112774ワット発電中さん
垢版 |
2018/05/22(火) 19:39:03.72ID:BVOLgdXo
データシート、アプノートは
さらーっと必要なところ読むんよ
日本語版は時々古くて嘘書いてあるから
重要なところは最新英語版を当たること
0113774ワット発電中さん
垢版 |
2018/05/22(火) 19:47:58.18ID:8N9VW2rQ
上司に聞いて上司が出世しそうか考える。
0114774ワット発電中さん
垢版 |
2018/05/22(火) 23:16:17.90ID:wMRVGYZY
FPGA使った回路設計するなら、データシート・アプリケーションノート読むのも
大事だけど、評価ボードの回路図参考にするのは必須
あと、コンフィグ関係のピンをユーザーI/Oピンと共用するようなことは
事前に試して確認できないかぎりやらないこと
0115774ワット発電中さん
垢版 |
2018/05/23(水) 10:34:03.17ID:VDeJSuEE
>>114
評価ボードの回路図、参考はいいけど鵜呑みはイカン
内容理解して確認した上で設計しないと
市販品にもバグはある
0116774ワット発電中さん
垢版 |
2018/05/27(日) 07:31:00.41ID:TghQUmJ6
FPGA評価ボードで魔方陣の全解計算は出来ますか?
0117774ワット発電中さん
垢版 |
2018/05/27(日) 10:00:26.24ID:PdBhvnQO
>>116
サイズとか時間制約とか分からんけど
チューリング完全なら出来るんだろ、きっと。
0118774ワット発電中さん
垢版 |
2018/05/27(日) 10:07:32.60ID:7+Hv/v9Q
FPGAにCPU載せられるんだから、できるかできないかで言えば、できる。
0121774ワット発電中さん
垢版 |
2018/05/27(日) 19:18:21.30ID:X/cUURup
多分、5x5より大きな魔方陣の解の厳密な数は求まってない。
本質的に異なる解の数は、
5x5の時に275,305,224個。
6x6だと2*10^19ぐらいと見積もられている。
このサイズは時間的に無理だね。
0122774ワット発電中さん
垢版 |
2018/05/27(日) 19:26:01.24ID:S4YcNPa7
>>121
たぶんそれはCPUでALU1個での見積もりですよね。
ALU100個で一斉チェックしたら加速度的に早くならないかな。
0123774ワット発電中さん
垢版 |
2018/05/27(日) 19:33:16.96ID:a8DRxYzv
>121 の説明が正しいとすれば
 2*10^19 -> 2*10^17、になるだけじゃないの?
誤差のうちだな
0124774ワット発電中さん
垢版 |
2018/05/27(日) 20:13:08.55ID:X/cUURup
123 の言うとおり。

勘違いしないように言っとくと、チェックするもの全てが解になるわけじゃない。
5x5の場合、解数は2億個程度だけど、しらみつぶしに調べたら25!(=約1.5*10^25)個をチェックするはめになる。
スパコン京は、ALUが70万個ぐらいあるけど、このままじゃ全く歯が立たない。
まして100ALUなんてゴミ。

やってみりゃわかるけど、5x5だってかなり努力しないと数えられないよ。
0125774ワット発電中さん
垢版 |
2018/05/27(日) 22:43:28.77ID:xgXiLOis
話をすり替える人は黙って下さい。
0126774ワット発電中さん
垢版 |
2018/05/28(月) 23:39:15.90ID:VVCU05lv
>>116
>FPGA評価ボードで魔方陣の全解計算は出来ますか?

出来るといくらくれるの?
0127774ワット発電中さん
垢版 |
2018/05/29(火) 10:41:01.97ID:aGho2COM
アルゴリズムを固めるのが先
PC上のソフトでそれ組めないやつがFPGA上で実装できるとは思えない
0128774ワット発電中さん
垢版 |
2018/05/29(火) 11:20:26.12ID:Riarl8cu
CPU上のソフトをFPGAでハード実装すると速くなると幻想してる勘違いさんへ。
アプリにもよるが、単純に速度比較するとほとんどの場合FPGA実装はPC上のソフトより遅い。
ハード固有の最適化などを駆使してやっと、速くなることがあるようなもの。
CPUはコア数多いし、圧倒的にクロックが速い。
0129774ワット発電中さん
垢版 |
2018/05/29(火) 11:24:52.41ID:Riarl8cu
CPUは→最近のCPUは

fpgaで一桁以上速くできるのはかなりレア。
0131774ワット発電中さん
垢版 |
2018/05/29(火) 14:23:57.18ID:OxcIqcd8
まっとうな事いってるな
FPGAの速度は、昨今のCPUに比べて おおよそ 1/10
実際やてみれば直ぐわかることだが、意味のある回路を動かそうとしたら 400MHz 程度でもかなり厳しい

速度は1/10だが、例えば 並列で動かせる演算器は 100倍
結果、うまく使えば、10 倍速くできる
そんな風な使い方しないとメリット出せない

ようは、並列度を上げられないような事象以外へ適応しても 効果は期待できない
残念ながら、自然界ではそういう事が多いからな
0133774ワット発電中さん
垢版 |
2018/05/29(火) 19:35:56.92ID:K7oruib4
やって見せるのが先だろ。派遣のクソが
0135774ワット発電中さん
垢版 |
2018/06/15(金) 07:54:09.70ID:ElaqikfY
何で、リクナビで「QuEST Global Services Pte. Ltd.」という派遣会社を通じて、ソニー半導体で働く人を募集しているの?
0136774ワット発電中さん
垢版 |
2018/06/15(金) 08:00:52.13ID:ElaqikfY
>>135
著しい中小企業レベルの待遇で、最高収益を上げたソニー半導体企業で奴隷のように働かせる差別的待遇を、同一労働同一賃金の観点から説明をソニー社員の方から文書で頂かないと働きません。他をあたってください
0137774ワット発電中さん
垢版 |
2018/06/15(金) 08:11:50.40ID:ElaqikfY
>>136
朝から5chで、オレ様を正社員で採用せず、派遣で格安の給与で採用しようとするソニーという企業に一人労働闘争を5chでするだけの簡単なお仕事。
0141774ワット発電中さん
垢版 |
2018/06/26(火) 23:15:56.02ID:SFAb3Z6E
>>2にVerilogシミュレータがあるのにVHDLシミュレータがないのはなぜでしょうか?
0142774ワット発電中さん
垢版 |
2018/06/26(火) 23:21:19.18ID:i7I9Vv4S
誰も書かなかったからじゃない?
model SIM逝っとけとか思ってる人多い

iverilogに比べて何故か?GHDLはメジャーじゃない気はする
0143774ワット発電中さん
垢版 |
2018/06/26(火) 23:37:14.09ID:SFAb3Z6E
FreeHDLを使ってみているのですが、いまいち期待通りに動きません

VHDLを複数ファイルに分割すると、ここ↓と同じエラーが出ます
http://d.hatena.ne.jp/miyox/20061109

しかもコンパイル手順を直しても改善しません
私の環境のディレクトリ構成が悪いのかなと試行錯誤しているところです

GHDLの方が使いやすいでしょうか?
0144774ワット発電中さん
垢版 |
2018/06/27(水) 08:26:59.91ID:/XcloPUj
>2 の ・FPGA
に出てるFPGAベンダで、Free板の"modelsim"も提供してるとこが有るんだから それ使えって
皆そう思ってるでしょ

(無料)って書いてないから有料しかないと思ったのかな?
0145774ワット発電中さん
垢版 |
2018/06/27(水) 09:14:58.86ID:4ZI5lwCw
ハードウェア記述言語ってなんか
アンドロMDAに似てるな
0146774ワット発電中さん
垢版 |
2018/06/27(水) 09:26:18.30ID:3/mEpbiz
>>145
アンドロゲン依存性前立腺癌細胞株(MDA −PCa−2b) なんて難しいことを知ってるな!
0147774ワット発電中さん
垢版 |
2018/06/27(水) 10:15:09.33ID:m7y1cztS
Free板modelsim、
xilinxは止めちゃった
残ってるのはalteraだっけ?
あとmicrosemiか
他にある?
つーか、modelsim自体がなんだかよくわかんね
シエスタ?だかそんな名前の奴に統合予定?
0148774ワット発電中さん
垢版 |
2018/06/27(水) 10:38:40.36ID:72I1EgWD
>>144
ありがとうございます、試してみます
Icarus Verilogみたいに軽いと嬉しいです
0149774ワット発電中さん
垢版 |
2018/06/27(水) 13:26:53.08ID:/XcloPUj
インストールすると 1GB ぐらい、その意味では軽くは無い

他って言うと、
・ASICベンダ推奨ツール類 (高価)
(新車買うより遥かに高額だし、そもそも個人相手に売ってくれるのか?)
....

になるから、選択肢は多くは無い
0152774ワット発電中さん
垢版 |
2018/06/27(水) 17:34:09.72ID:NgiHYtTd
Altera版フリーのmodelsimが、単独でインストールできるから楽
verilogなら(フリーじゃないけど) veritak
0153774ワット発電中さん
垢版 |
2018/06/27(水) 19:37:50.58ID:LTYJpHhA
とりあえず以下2件ダウンロード中なのですが、どちらもサイズが大きいですね…

・Quartus付属のModelSim(あえて少し古めのバージョン)
・Active-HDL学生版

VHDLの学習用に、make一発ですぐ波形まで出せる軽いシミュレータがあるといいなぁ、と思っているのですが、ちょっとくじけそうです

>>152
Verilogならiverilogの方がWindowsでもLinuxでも使えていいと思います
0154774ワット発電中さん
垢版 |
2018/06/28(木) 00:12:29.41ID:WsYmkx+Z
前にも議論があったと思うけど、インストールに1GBはおろか10G,20GBが必要でも
問題になるようなことは考えにくいんだけどな

MS-SurfaceみたいなSSD交換不可なやつをぎりぎり一杯で使ってるとしても
インストール先をUSBドライブにすればいいだけで、それができないようなソフトは
少ないと思うけど
0156774ワット発電中さん
垢版 |
2018/06/28(木) 11:16:16.02ID:xbwfHRAw
どうにかQuartusのModelSimを試すことができました
ダウンロードは重かったですが、起動は軽かったので、いい感じのVHDLの学習環境を作れました
教えてくれた方はありがとうございました
0157774ワット発電中さん
垢版 |
2018/06/28(木) 11:35:24.34ID:/Z6Aj/He
ぼくがかんがえたFPGA三大参入障壁
・自分がどの実機を買うべきなのかわかりにくい
・開発環境をインストールするまでが長い
・コンパイル時間が長い
0158774ワット発電中さん
垢版 |
2018/06/28(木) 12:11:54.40ID:WsYmkx+Z
FPGAの開発環境は、クラウドベースにふさわしいと思うんだけど
どのメーカーも始めないね
0159774ワット発電中さん
垢版 |
2018/06/28(木) 13:04:19.65ID:5KP8NaWW
どんだけサーバーリソース食うか
恐ろしいんじゃね
C/C++の環境とはそれこそ桁が3〜4つくらい違いそうだ
0160774ワット発電中さん
垢版 |
2018/06/28(木) 13:26:46.54ID:WsYmkx+Z
当然無償とはいかないだろうから時間課金とかで
ハイエンドPCの10分の1くらいの時間で合成・配置配線できたら
需要はありそうなんだけどね

大昔、WebPackでHDL使えるようになる前のほんの一時期
無償で Xilinxがそういうの提供してたような・・・(記憶違いかも)
0161774ワット発電中さん
垢版 |
2018/06/28(木) 14:22:38.69ID:5KP8NaWW
時代は繰り返すTSSか。w

PC用のHDLベンチとかある?
合成/配置の時間を競うの
0162774ワット発電中さん
垢版 |
2018/06/28(木) 14:27:58.96ID:VsWFEnmJ
合成は たいした事無い
一番時間費やすのはシュミレーション
0163774ワット発電中さん
垢版 |
2018/06/28(木) 14:30:27.77ID:5KP8NaWW
うん、時間かかるから細切れでやってる
シミュレーション
0165774ワット発電中さん
垢版 |
2018/06/28(木) 20:55:56.18ID:Ue66jHRz
>>162
合成三日でインプリ失敗とかむかし大規模FPGAでやってたわ。

今民生品は、小さいのしか使わなくてわけわからん
0167774ワット発電中さん
垢版 |
2018/06/28(木) 21:56:04.89ID:Ue66jHRz
>>166
DSP48をフルに使って45万円したVertex5を4つ使ってとにかく早く動かす。簡単なお仕事で、使用率90%あたりから、タイミングメットしませーん。ウワーン。それを超えるチップ無いでーす
0168774ワット発電中さん
垢版 |
2018/06/28(木) 23:13:04.60ID:qxrhGPux
確かに90%超えたあたりからやたら時間かかるよね。
Symplify 使うと多少マシになる。
0169774ワット発電中さん
垢版 |
2018/06/28(木) 23:13:16.10ID:qxrhGPux
確かに90%超えたあたりからやたら時間かかるよね。
Symplify 使うと多少マシになる。
0170774ワット発電中さん
垢版 |
2018/06/29(金) 11:17:37.38ID:8w5CZ6Nh
配置配線のパラメタチューニングしたりする?
訳もよく判らず弄りすぎで大体元の木阿弥なんだけど
0171774ワット発電中さん
垢版 |
2018/06/30(土) 01:22:10.53ID:ubj+ZUwj
チューニングのやり方がわからない(ため息)
Lチカぐらい簡単なやつは10秒でコンパイルできればいいんだけど、最適化を完全オフにするパラメータってあるの?
0172774ワット発電中さん
垢版 |
2018/06/30(土) 21:09:03.10ID:Sfoi0kwX
(回路合成だけでなく)配置配線のツールもサードパーティが開発できればいいのにぃ
0174774ワット発電中さん
垢版 |
2018/06/30(土) 22:07:31.38ID:Sfoi0kwX
>>173
ちょっとやってみたいけど、NGDやNCDのフォーマットに関する公開資料なくね?
0175774ワット発電中さん
垢版 |
2018/06/30(土) 23:10:21.30ID:ZOEfo4cc
>>174
 http://www.clifford.at/icestorm/
 Project IceStorm aims at reverse engineering and documenting the bitstream format of Lattice iCE40 FPGAs

 Why the Lattice iCE40?
 It has a very minimalistic architecture with a very regular structure.

さぁやってくれ。
0176774ワット発電中さん
垢版 |
2018/07/01(日) 04:50:58.19ID:dOFnAt44
>>175
やっぱりリバースエンジニアリングになるのか(無茶言わんでくれ)

でもLatticeはノーマークだったから教えてくれてありがとう
0177774ワット発電中さん
垢版 |
2018/07/01(日) 08:44:29.19ID:21VsAuPz
正式に開発したいなら教えてくれるかもよ。
NDA いるだろうけど。
0179774ワット発電中さん
垢版 |
2018/07/03(火) 21:54:50.62ID:TUeFXinq
PVA
0182774ワット発電中さん
垢版 |
2018/07/15(日) 10:18:17.63ID:5+0mEq6F
>>75
>FPGAの回路設計とASICの回路設計は似て非なるもの
ほう、そのこころは?
0183774ワット発電中さん
垢版 |
2018/07/15(日) 11:22:47.11ID:vemc6UVS
わからんけど
FPGAはセル単位になってしまうとか?
0184774ワット発電中さん
垢版 |
2018/07/15(日) 11:34:53.49ID:vNqsj68u
FPGA だとデュアルポートRAMや演算器を優先的に使う設計するけど
ASIC だとシングルポートRAM、演算は出来るだけ単純化とかかな。
ASIC はプロセスにもよるけど。
それ以上に検証が違うけど。
0185774ワット発電中さん
垢版 |
2018/07/16(月) 08:35:43.62ID:Wtf6edTJ
ASICはテストパターンの労力で100倍違う
0186774ワット発電中さん
垢版 |
2018/07/16(月) 10:46:20.61ID:yJ/wSyff
10年以上前、フルスキャンで故障検出率100%にしたらテスト部門が喜んでた。
最近のテストはどうなってるのだろう?
0187774ワット発電中さん
垢版 |
2018/07/16(月) 11:24:20.42ID:3UJr0rsO
バックエンドは良く知らんけど、最近はゲートシミュレーションはやらないな。
故障検出はスキャンパス張るんだろう。
レスを投稿する


ニューススポーツなんでも実況