X



トップページ電気・電子
966コメント318KB

【FPGA/CPLD】 XILINX/ALTERA/Lattice/Actel #31

0001774ワット発電中さん
垢版 |
2022/08/31(水) 15:51:53.55ID:Y9a6s5XT
※前スレ
【FPGA/CPLD】 XILINX/ALTERA/Lattice/Actel #30
https://rio2016.5ch.net/test/read.cgi/denki/1637515448/

天ぷら

FPGAマガジン (CQ)
https://shop.cqpub.co.jp/hanbai/booklist/series/FPGA%E3%83%9E%E3%82%AC%E3%82%B8%E3%83%B3/
FPGAマガジンNo.20 発売中断のお知らせ
http://fpga.cqpub.co.jp/

このなひたふさんの天ぷら何とか汁

http://www.tokudenkairo.co.jp/
http://www.nahitech.com/nahitafu/
http://nahitafu.cocolog-nifty.com/
https://twitter.com/nahitafu
https://twitter.com/5chan_nel (5ch newer account)
0003774ワット発電中さん
垢版 |
2022/09/02(金) 02:06:24.11ID:jKoukbWW
3,4がなくて
0004774ワット発電中さん
垢版 |
2022/09/02(金) 08:59:13.27ID:j65K5xra
>>1
O2

ω株 PI.3.14
0005774ワット発電中さん
垢版 |
2022/09/02(金) 15:28:54.52ID:mYgc/0cT
距離が長くなると伝送できないというのは

I2C
パラレルプリンタ/RS-232C/RS-485

の規格を体感的に理解していれば、自ずとわかるはず

最終手段は、50オームのバッファアンプ

と考えると、FPGAとDAの間は最短のトウチョウ配線でして、残り同軸で引っ張った方が楽なんだが。

何で苦労してるんだろ……

http://nahitafu.cocolog-nifty.com/nahitafu/2022/08/post-90dd1e.html
0006774ワット発電中さん
垢版 |
2022/09/02(金) 19:02:58.00ID:3mbvjlQb
>>5
知ったかぶりして頓珍漢な事書いてる奴w

DACはAD9717で、2chパラレル14bit/125MSPSのもの
FPGA基板とDAC基板を基板対基板コネクタで接続して、複数の14bitパラレル信号を通す構造
配線長は、2枚の基板跨いで、いいとこ数センチ
どこに同軸ケーブル使う?w
0009774ワット発電中さん
垢版 |
2022/09/03(土) 18:17:26.84ID:ik1SACQv
>>8
うける前に、総務のおっさんの連絡が2週間以上かかって他に決まっちまった
0016774ワット発電中さん
垢版 |
2022/09/05(月) 23:41:46.76ID:hIgLLXIC
Tang Nanoは開発環境無料で日本語ドキュメントもあってビビる
0019774ワット発電中さん
垢版 |
2022/09/07(水) 11:10:18.72ID:XrMEtQ5u
中華半導体、信頼性どのくらいあるのか不安
上限85℃の工業グレード品を、80℃ぐらいで使って全数10年持てばいいけど、5年で半分死ぬとか、1年で1割ぐらい死ぬとか
そういうデータと実績が無い
XとかIとかから乗り換えて、数年後にどうなるかは、掛けだねえ
0020774ワット発電中さん
垢版 |
2022/09/07(水) 12:22:50.80ID:3Ou9RjtY
>>19
趣味じゃねえの

そもそもXやIの信頼性データを気にしている奴は?

つうか、全部製造はTSMCなんじゃねえの?

信頼性とは?
0021774ワット発電中さん
垢版 |
2022/09/07(水) 16:37:16.40ID:129nx0Vg
シリコンなんだし劣化速度とかはあんまり関係ないような……
電解コンデンサの電解液とかわかり易い手抜きが出来るところでも無さそうだし
0022774ワット発電中さん
垢版 |
2022/09/07(水) 16:38:10.15ID:mj1B1d4m
>信頼性とは
三菱自動車や日野自動車が失ったものじゃね?しらんけど
0023774ワット発電中さん
垢版 |
2022/09/07(水) 23:52:42.20ID:XrMEtQ5u
富士通HDDの不良問題とか知らんの?
あれはパッケージの樹脂に原因があった
中のチップもシリコン単体でできてる訳じゃなくて、いろんなプロセスを経て半導体や配線や絶縁とか作り込んでいて、必ずそれぞれ寿命がある
自分が作ったものの寿命が気にならないのは、趣味でやってる素人だけ
業務で作ったものが頻繁に壊れたら、責任問題になる
0024774ワット発電中さん
垢版 |
2022/09/08(木) 00:28:32.36ID:4KUw9Bhd
「何を根拠にブランドの信頼性の優劣を気にすればいいの?」という話じゃないですかね。
0025774ワット発電中さん
垢版 |
2022/09/08(木) 10:47:54.10ID:85HUVqEK
>>23
へー富士通のHDD問題って韓国に害虫が原因だったんだ
0026774ワット発電中さん
垢版 |
2022/09/08(木) 11:58:28.62ID:o+LGhEBF
>25
住友ベ封止材問題って韓国関わってたの?
0028774ワット発電中さん
垢版 |
2022/09/08(木) 15:09:54.30ID:2N/JdMb5
シーゲートだったかなぁHDDそんな問題おこして
9821V13だか200だか
その頃お客さんのところに入れ替えに回ってたけど。

XilinxとかIntelの信頼性データって見たことあるのかと小一時間と言ってるだろうが。これだから話が通じない
0031774ワット発電中さん
垢版 |
2022/09/10(土) 22:42:43.59ID:d9DFjwNR
最近、ルフィが「パズドラ王に、俺はなる!」などと言ってるのをよく聞きます!

ただでさえ海賊王目指してるのに両立できるわけねえだろ王様ナメんなと言ってやりたいんですが、どこに電話かければいいでしょうか!

なおルフィは架空の人物なので、電話番号がネットに公開されても個人情報保護法は適用されないと思います!
0032774ワット発電中さん
垢版 |
2022/09/11(日) 16:16:38.70ID:KLH1QT1h
オレ様メモ

∴‥∵‥∴‥∵‥∴‥∴‥∵‥∴‥∵‥∴‥∴‥∵‥∴‥∵‥∴‥∴
<2022年9月21日 (水) 午前 10:00 - 11:30 開催 無料>
【インテル株式会社主催】インテル(R) FPGA対応 Nios(R) V プロセッサー・セミナー

▼▼ 事前登録受付中・詳細はこちら ▼▼
https://webinar.intel.com/NiosVWebinar21Sep-JP_REG
*インテル株式会社のセミナー申込ページに遷移します。
∴‥∵‥∴‥∵‥∴‥∴‥∵‥∴‥∵‥∴‥∴‥∵‥∴‥∵‥∴‥∴
0036774ワット発電中さん
垢版 |
2022/09/12(月) 21:39:42.05ID:espA9QMi
>>33
安くて機能揃ってていいんだけど、フラッシュないのがなー。

>>35
他に関連スレあったっけ?
0039774ワット発電中さん
垢版 |
2022/09/12(月) 22:13:40.36ID:FHnNiPtK
Efinix安いからいい、年間ライセンス払っても安い
LE数/コスト比が良いね。

Xilinxが株主だから、つぶしにかかるかもしれんけど
0040774ワット発電中さん
垢版 |
2022/09/12(月) 22:43:38.99ID:mn8YnQy8
ライセンス料って何?
Titaniumとかだと金かかんの?
金取るなら合成ツールまともなの提供してくれ。
0041774ワット発電中さん
垢版 |
2022/09/12(月) 22:59:09.63ID:FHnNiPtK
まぁまぁ怒らんで
ライセンス料っていうか、最初にZiloniボード買ってユーザー登録費用ね。
合成ツールは知らんけれど、T20がソニーのカメラモジュールに採用されてるみたいね
0042774ワット発電中さん
垢版 |
2022/09/13(火) 01:43:38.39ID:tHxvhdco
XやIもライセンス料に見合うまともなツールを提供してください
0046774ワット発電中さん
垢版 |
2022/09/13(火) 18:05:12.16ID:of+aWCNj
>>44
面白かったよ。
安い理由が何となくわかった。やっぱフラッシュ混載みたいなプロセスは高くつくのね、ユーザーとしては便利だけど。
外付けSPIフラッシュ検討するか、、、
0047774ワット発電中さん
垢版 |
2022/09/13(火) 18:34:44.96ID:PdUuMI1K
>>45
IDEは普通
合成はちょっとバカ
あと、I/O の繋ぎが独特過ぎて最初分からんかった。
0049774ワット発電中さん
垢版 |
2022/09/13(火) 23:03:02.44ID:m0OFgPZy
クラウドワークスでやたら安い金額でFPGA技術者を探している企業があって、草
0058774ワット発電中さん
垢版 |
2022/09/15(木) 14:58:59.53ID:ZFog+Z4Z
伝説の商社マンが、Xilinx幹部をマ○ニカさんが川崎ソープに連れて行ったのを見たとか言ってたが
さすが伝説の商社マンそこで、剣を磨いていたと
あと、師匠がサムローガン嫌ってたとか言ってたが、支障って誰だよ
小林○さんは俺の弟子とか

今考えると意味不明
0065774ワット発電中さん
垢版 |
2022/10/07(金) 18:41:28.31ID:7/3HPjuT
>>63
ワイは800万近く貰ってるで
電気とか回路設計とかプログラミングの基礎は分かってるけどね
0066774ワット発電中さん
垢版 |
2022/10/07(金) 18:58:41.80ID:BVChpvus
>>65
年収600万円を超えるFPGA求人はどこか?と聞いているんだが、おまえはバカか?
0068774ワット発電中さん
垢版 |
2022/10/07(金) 23:59:28.04ID:iNobFHTE
上がらない給与、上がり続ける物価…
ウクライナは1日も早く滅ぼすほうがいいよな
0070774ワット発電中さん
垢版 |
2022/10/08(土) 10:09:06.26ID:swwdcVln
>>65
元PALTEKの田島君は、年収650ぐらいの源泉徴収票を見せてお前もこれぐらいがんばれよとか意味不明なことを言っていたが昔からのテンプレなのか?
知らんが、自分で何もしない手配師になって取締役でもないのに突然2時間以内に私物片せと言いだし、iPhoneの中身までチェックして。
サラリーマンとして、それはないわ。
頑張っても報われない社会は此奴らが形成しているだろ。
業界全体を全てひっくり返しておくわ。
0071774ワット発電中さん
垢版 |
2022/10/08(土) 20:31:15.18ID:WEsr8EM8
ロシアとウクライナ以外、全員トラックにひかれて異世界TS転生すればいいんだよ
0072774ワット発電中さん
垢版 |
2022/10/09(日) 00:41:34.08ID:iq5E4u09
>>65
オレ様バイアグラ飲んだ経験ないで
0073774ワット発電中さん
垢版 |
2022/10/09(日) 00:55:32.57ID:iq5E4u09
>>70

バトルスレまとめ

【派遣&請負】 テクノプロ その39【20代妻子持ち】
https://mao.5ch.net/test/read.cgi/haken/1659259370/

【FPGA/CPLD】 XILINX/ALTERA/Lattice/Actel #31
https://rio2016.5ch.net/test/read.cgi/denki/1661928713/

ルネサスエレクトロニクス_セカンドシーズン★4
https://lavender.5ch.net/test/read.cgi/company/1661632680/

沖電気の裏事情 Part46
https://lavender.5ch.net/test/read.cgi/company/1500318841/

[国会議員殺◎予告]日本サーキット[ニキシー管転売]
https://lavender.5ch.net/test/read.cgi/company/1572825010/

【誹謗】ななしっくす VS 下痢坂 専用スレ【中傷】
https://mao.5ch.net/test/read.cgi/shikaku/1629200194/
0074774ワット発電中さん
垢版 |
2022/10/09(日) 01:56:25.98ID:7hJte3UZ
中小零細ならFPGA出来るの探してるから数年我慢すれば600万以上はすぐに超えるぞ。
0075774ワット発電中さん
垢版 |
2022/10/09(日) 07:17:31.49ID:JT+whE0s
>>74
妄想乙
0076774ワット発電中さん
垢版 |
2022/10/09(日) 13:14:31.30ID:jlh357cb
20年くらいHDL書いてるけど500行かない
HDL以外のアナログ回路設計や筐体設計、システム管理と何でもやってるけど
0080774ワット発電中さん
垢版 |
2022/10/09(日) 20:26:58.89ID:G2T6ZRFx
>>79
意味不明
0081774ワット発電中さん
垢版 |
2022/10/10(月) 00:03:48.62ID:o3CA6bEh
「20年くらいHDL書いてて、HDL以外のアナログ回路設計や筐体設計、システム管理と何でもやってる。それで500万円行かない」

「800万円もらっている人なら、そんな仕事内容だろう。500万円は低すぎる」

という話だと思った。
すげえマルチな仕事内容っぽい。それぞれの質次第だけどもっと貰える可能性はあるのに。

でも、それなりの人脈とか特許とか論文とかわかりやすくアピールできる要素がないと、40代半ばの人だと転職ハードルは高そう。
日本のシステムが良くない。
0083774ワット発電中さん
垢版 |
2022/10/10(月) 04:31:18.48ID:wwfoq9t1
>>81
起業すれば
0084774ワット発電中さん
垢版 |
2022/10/10(月) 07:50:24.04ID:BhPGspn3
そいつは40代未婚の童貞
しかも電気電子エンジニアではなくただの作業員
0086774ワット発電中さん
垢版 |
2022/10/10(月) 09:39:40.41ID:o3CA6bEh
>>82
なるに足るものならなる。転職希望先に縁がないもの、本人が重要なポジションでない連名なら力は弱いだろうけれど。

>>83
経営の力と開発の力、その他基礎研究の力、製造の力、営業の力…、ぜんぶ別。
企業の中でこそ力を発揮できるタイプの人もいるわけだし。
0088774ワット発電中さん
垢版 |
2022/10/11(火) 07:37:02.35ID:UUl/yseA
転職希望先が興味を持ってくれるなら、業界紙でも一般雑誌記事でもいいんだし。

転職にもリスクはあるけれど、今の職場で十分に評価されていないと考えるなら外の世界も考えないと。
0089774ワット発電中さん
垢版 |
2022/10/11(火) 15:36:02.36ID:1YX7BbO4
>外の世界

転生したらFPGAでした  〜焼く側から 焼かれる側に〜
0090774ワット発電中さん
垢版 |
2022/10/11(火) 16:25:31.07ID:RZ1Hhwki
ここ10年ぐらいFPGAから離れてたんですが
今のインテルやザイリンクスの
合成ツールって
RAMのビヘイビアモデルを
内蔵RAMにアサインして合成して
くれるんですかね?
昔は巨大なレジスタブロックに
なったりしてましたが
0091774ワット発電中さん
垢版 |
2022/10/11(火) 16:54:55.99ID:POVz5X2T
>>90
10年前でもXilinxは出来てた。Alteraは知らん。
書き方が悪かったんだろ。
0092774ワット発電中さん
垢版 |
2022/10/11(火) 17:21:08.22ID:uCn/0maz
廉価ブランドでもRAMブロック普通に積んどるよ。初期値設定もできて便利。
0093774ワット発電中さん
垢版 |
2022/10/11(火) 18:57:31.10ID:ZcBW0i9/
非同期リード
メモリセルのリセット

辺りが入ってたんじゃ?
これがあったら今もNGよ
0094774ワット発電中さん
垢版 |
2022/10/11(火) 21:10:59.38ID:SrhQvO3i
何も出来ない手配師が商社w
0095ありさか ◆q3QSonCVJJss
垢版 |
2022/10/11(火) 21:12:34.42ID:SrhQvO3i
>>90

Case文で全部記述すればたいていはなる
0096ありさか ◆q3QSonCVJJss
垢版 |
2022/10/11(火) 21:14:37.57ID:SrhQvO3i
>>87
VHDLできますと言う奴に、IEEEってなにかきいてみ
0098774ワット発電中さん
垢版 |
2022/10/12(水) 00:15:56.34ID:0uJ9qxqU
そんなことよりウクライナを早く滅ぼせ
このままだとロシアは日本にも攻撃してくるぞ
0099ありさか ◆q3QSonCVJJss
垢版 |
2022/10/12(水) 03:09:39.91ID:KlOTPP5A
えっVHDL書くときに、IEEEって書かないんですか!!!凄いっすね!!!
0100774ワット発電中さん
垢版 |
2022/10/12(水) 07:37:37.02ID:vHElbS9b
>>85
>(論文も)評価されるでしょ。IEEE(が発行している情報誌に載ったとか)くらいなら

>>87
>IEEE(が発行している情報誌に載った)というだけじゃまだまだだねぇw

という話に対して
>VHDLできますと言う奴に、IEEEってなにかきいてみ
>えっVHDL書くときに、IEEEって書かないんですか!!!凄いっすね!!!
って意図的に外してるのかな?
010190
垢版 |
2022/10/12(水) 07:54:05.98ID:jfAloWEg
そっか世界は進んでいるんだな

驚いたのは除余算が簡単にできること
桁毎の2進10進変換が
keta_1=bindata/1 %10
keta_10=bindata/10%10
keta_100=bindata/100%10



で合成出来るのはびっくりした
昔は割り算は無理だったし
モジュラーなんて考えられなかったが
レスを投稿する