X



トップページ電気・電子
1002コメント316KB
【FPGA/CPLD】 XILINX/ALTERA/Lattice/Actel #26
レス数が1000を超えています。これ以上書き込みはできません。
0561774ワット発電中さん
垢版 |
2019/01/24(木) 00:26:11.69ID:rTCje9Bq
>>554
それをいうならCPUでもバスマスタで内蔵メモリを解放して単なるメモリとして使用できますが何か?


揚げ足とるなら自分がとられないよう細心の注意を払おう
0562774ワット発電中さん
垢版 |
2019/01/24(木) 00:28:33.09ID:rTCje9Bq
>>554
そもそもおまえはFPGAもPLDも海外文献ではCPUと同じようにプロセッサと呼ばれてる事実も知らないんだなwww


ちょっとは日本語以外の世界もみてみよう
0563774ワット発電中さん
垢版 |
2019/01/24(木) 00:38:18.19ID:rTCje9Bq
>>550
>そうか、74HC は機能性IC なんだな。

その通りですが何か?
https://www.renesas.com/jp/ja/products/timing-digital-logic/digital-logic-ic/rd74hc.html

ちなみにDRAM会社と分社された旧エルビーダにもマイクロンにも74シリーズなんてラインナップされてませんが何か?

おまえの完敗 ( ゚∀゚) アハハハハノヽノヽノ \ / \ / \

ルネサスとエルピーダの設立経緯も知らねぇゆとりかヤレヤレ ┐(´ー`)┌ マイッタネ
0564774ワット発電中さん
垢版 |
2019/01/24(木) 01:55:17.54ID:mkUxx24e
>>556-558
多少は実用性のあるものを作りたいんだよね…

とりあえずADCも2台購入ずみだからタイム・インターリーブで高速サンプリングでも目指すかな
0566774ワット発電中さん
垢版 |
2019/01/24(木) 18:01:55.59ID:Z8D/nPxz
>>564
いきなりハードル上げても何もできずに挫折して終わるぞ
helloworldも出力できないのにドラクエ作りたいとか言ってるようなもんだ
0567774ワット発電中さん
垢版 |
2019/01/24(木) 18:16:55.89ID:z9VUxlFB
とりあえずディスプレイに適当な画像か模様を表示させてみたらどうよ
VGAなら極端には難しくないし、見えるものができると少し楽しくなると思うぞ
0568774ワット発電中さん
垢版 |
2019/01/24(木) 21:13:20.50ID:Ctd+8sn7
>>559
あれは凄いよな
検索するとPCどころか
CRAY-1作ってる奴がいる
凄すぎるよ
0570774ワット発電中さん
垢版 |
2019/01/25(金) 00:02:55.73ID:/gHYvF8R
FPGA勉強しようとしてspartan3で始めようとしたらwin10で使える現行の開発環境ないじゃんこれ
iseはspartan6で最後みたいだし
みんなどうしてるの?
しょうがないから秋月で入手出来るlatticeのxo2?とft2232の組み合わせで始めようと下調べ中です
何かアドバイスとかあったらお願いします
0575774ワット発電中さん
垢版 |
2019/01/25(金) 02:21:34.83ID:1KkNQ97F
win7機を買おう
ドスパラかヤフオクあたりで安いものを探して
0578774ワット発電中さん
垢版 |
2019/01/25(金) 09:13:55.51ID:AJ9hp0mz
linux無しでただのARMマイコンとして使えるよ
最初のうちはマイコン側は何も無しでconfigだけさせてもいいし
0579774ワット発電中さん
垢版 |
2019/01/25(金) 09:42:06.77ID:HEd1GKso
Zynqはコマンドラインでコンパイル・ELF転送するやり方がなかなか調べられなくてなぁ
できるの?
0580774ワット発電中さん
垢版 |
2019/01/25(金) 10:20:13.24ID:6GJ0usZY
ARM は何もしないでHALTして欲しいんだが
勝手に起動しようとしてI/O書き換えるから迷惑。
0581774ワット発電中さん
垢版 |
2019/01/25(金) 12:21:05.41ID:/gHYvF8R
>>571
windows10対応しているのはspartan6対応というか専用みたいなバージョンがiseの最終版って感じみたいでした
0582774ワット発電中さん
垢版 |
2019/01/25(金) 12:22:05.81ID:/gHYvF8R
>>573
ネットに沢山情報があるのと安いのとspartan6まで必要性がないと判断したからです
0584774ワット発電中さん
垢版 |
2019/01/25(金) 12:24:22.98ID:/gHYvF8R
>>574
ありがとうございます
秋月取り扱いはポイント高いですね
調べてみます
0585774ワット発電中さん
垢版 |
2019/01/25(金) 12:29:15.37ID:/gHYvF8R
皆さんコメントありがとうございます
ざっと感じた印象は新しいデバイスを素直に使えってことでしょうか
zinqかmicroboardかde0?あたりに絞って検討してみようと思います
0586774ワット発電中さん
垢版 |
2019/01/25(金) 12:57:26.47ID:2koqie4C
>>585
zynqのCORA Z7買ったけど、
ダウンロードケーブル不要で、
一万円代前半だったな。
0588774ワット発電中さん
垢版 |
2019/01/25(金) 14:47:31.61ID:lG1Eoj6h
DE0はもうQuartus対応してないのがな
今からならDE0-CVを買うほうが良いが、初心者は書籍を頼りにDE0を買ってつまづいてしまうのだろうなと思ってる
0590774ワット発電中さん
垢版 |
2019/01/25(金) 17:42:32.41ID:AJ9hp0mz
DE0は高機能だけど安いからねー
しかしcyclone3なのにもう対応しないのか
intelになってシビアになったのかな?
0591774ワット発電中さん
垢版 |
2019/01/25(金) 19:26:44.65ID:gzxCPARm
旧バージョンダウンロードすれば大丈夫。新OSサポートしてなくてもとりあえず動く。種味で使うだけなら気にすんな
0596774ワット発電中さん
垢版 |
2019/01/26(土) 00:15:32.87ID:TGmUpVBp
そうそう
zynq面白いよ
alteraの方が好きだったけど最近はxiの方がツール頑張ってる感じがして魅力的
0597774ワット発電中さん
垢版 |
2019/01/26(土) 01:32:36.58ID:RBab8F4F
ツールはもっと軽くしてほしい
最初から数ギガバイトもダウンロードさせるのは、初心者になかなか勧められない
0598774ワット発電中さん
垢版 |
2019/01/26(土) 08:58:08.22ID:LlUDjlmb
ツールのサイズは昔から巨大だったし、
HDDの大容量化からして相対的に控えめな肥大化だと思うけど。Visual Studioとかもうね。
初心者がわかりにくいのはサードパーティーツールがいろいろ機能制限ついた状態でフィッタに添付されてることだろ。
俺としてはサイズ云々より、ツールの内製化をできるだけ進めて機能制限を排除するのが好ましいと思う。
その点Alteraは旧態依然で進歩してない。サードパーティーツールの最新版をロハ提供なんかできんしな
SystemVerilogとか機能制限つけてるし、そもそもmodelsimてメンターはQuestsimに変更されたんじゃないのか?
シミュレータ速度をわざと遅くするとかの制限は未だ健在なのか?
0599774ワット発電中さん
垢版 |
2019/01/26(土) 09:31:23.72ID:jw7EMG8u
論理合成のツールはクラウド版があるといいんだろうね
CPUパワーの点でも
0600774ワット発電中さん
垢版 |
2019/01/26(土) 11:29:19.97ID:8mJLydbD
クラウドは流出怖くて出せないだろうな

しっかしvivadoを16から18にv.upしたら
画面デザインがタイルUI風に変わって判りづらくてかなわん
MSに右ならえも大概にしてほしいよ
しかも16から18にprj持って来たらうまく合成できないし
prjコンバータのバグか?
0601774ワット発電中さん
垢版 |
2019/01/26(土) 11:42:11.04ID:EJeMYv9D
クラウドになったら
それこそ旧製品サポート打ちきりになったら旧版入れてとか出来なくなるけど…
0602774ワット発電中さん
垢版 |
2019/01/26(土) 11:42:15.87ID:RBab8F4F
>>598
aptで数分でコマンドライン版がインストールできるのが望ましいと思うんよ

>>599
そうだね、クラウド版も欲しい

あと初期設定では配置配線を高速化してほしい
リソース削減や配線遅延などの細かい最適化は、上達して大規模な回路を作るまでは不要
Vivadoの方が明確に遅いから、今でもISE使ってるわ
0603774ワット発電中さん
垢版 |
2019/01/26(土) 11:51:57.98ID:fB5SyoVD
xiのツールはまあ色々あるよね
なんつーかチャレンジングなツールって感じで結構荒削りでも出てくるイメージが

ISE11あたりだったかインストールできなくて使えない事あったしw

でもホビー用なら面白いから良し
0605774ワット発電中さん
垢版 |
2019/01/26(土) 15:01:43.49ID:8mJLydbD
ebayにspartan6+32M-SDRAMの格安ボードが出てるんだけど
(\2000くらい)
だれか試した人いる?
差動が等長でピンに出てる見たいで割と使えそうなふいんきなんだけど
何に使うかネタがまだないんで手を出さずにいる
0606774ワット発電中さん
垢版 |
2019/01/26(土) 15:40:34.64ID:V5Opv/qV
xilinxのFPGAって安いんだな
某スーパーキットのAlteraで始めたけどxilinxに乗り換えようかな
0607774ワット発電中さん
垢版 |
2019/01/26(土) 15:46:34.80ID:S0f13HJC
>>600
詳しくは知らんが、18は合成に問題があるっぽい
最新の18.3なら大丈夫らしいが
0609774ワット発電中さん
垢版 |
2019/01/26(土) 21:59:33.76ID:LlUDjlmb
>>606
alteraは昔から大口顧客御用達だろ。小口ユーザーなんて Out of 眼中
あと、Xiは高位合成までロハだろ。
Vivado のHDLシミュレーターのできはQuestaあたりと比べてどーなん? > 使ってる人
0611774ワット発電中さん
垢版 |
2019/01/26(土) 22:30:08.50ID:8mJLydbD
>>608
そう。同じだね
7シリーズで安いのが出てくれればと思ってるのだが

>>607
18.3なんだけどな
16の時に1時間くらい掛かっていたのが10分くらいで終了。変だよ
criticalエラー出ないでbitstream出来るが中身が変だよ
LUTが50%(16.3)使ってたのが1%(18.3)ってなんなんだよ罪!w
16のprjを18で開いて自動変換させただけなんだが
IP辺りをゼロベースで作り直さなきゃダメかな
これもほぼ自動で変換はしているのだが
0612774ワット発電中さん
垢版 |
2019/01/26(土) 23:00:19.33ID:SkFhfggw
その位はxiでは良くある事だろw

昔ISEで合成かけたら同じソースでも毎度delay違うなんて事もあったし
乱数でも使ってたんかねえ
0615774ワット発電中さん
垢版 |
2019/01/27(日) 16:28:45.95ID:re5ZJPqK
すいません質問です
win10対応最終版iseをダウンロード&インストールしたらvirtualboxがインストールされて仮想マシン上にiseがインストールされたのですが仮想マシン上じゃなく直接win10版をインストールしたいのです方法があるのでしょうか?
それともダウンロードしたものが違っていたのでしょうか?
学校で動いているのがwin10のiseで64bit版と32bit版がインストールされていたので同じように使いたいと思っています。
また逆に仮想マシンにインストールする意味?メリットがあってのことだと思うのですがこの点もどうか教えてくださいm(__)m
多分メリットがあるのではと思いました。
よろしくお願いしますm(__)m
0616774ワット発電中さん
垢版 |
2019/01/27(日) 17:37:43.84ID:I8qdgnOw
win10でiseが動かなくなったから苦肉の策で仮想マシンにしたのだと思ってるんだが…
学校のwin10でiseが動いていることが驚き
0618774ワット発電中さん
垢版 |
2019/01/27(日) 18:06:22.08ID:R4gKnwVW
virtualboxがついてくるとは知らなんだ
ウチではISE使うときはwin7を起動しているよ
OSマルチブートにしている
ラッキーならWIN10上でも素で動くんじゃないかな
試してみればいい
0619774ワット発電中さん
垢版 |
2019/01/27(日) 21:52:41.60ID:re5ZJPqK
>>615
続きです。
virtualbox上で使うのは超面倒なのとvirtualboxなしで学校も動いていたしほかにも同様の人がいるので調べたらどうやらwin10用をインストールするのではなくwin7用をインストールしてdllをちょっといじると問題なく動くようなのでやったら無事動きました
とりあえずプロジェクト作ってcheck syntaxは試せました。
基板?をつけてないせいかビルドみたいなことは左のメニューに存在しないのでまた試行錯誤してます

win10版じゃなくてもとりあえず問題なさげでなんでこれじゃダメなのか不思議です(^^;
0620774ワット発電中さん
垢版 |
2019/01/27(日) 22:11:29.90ID:re5ZJPqK
>>619
spartan3が心機一転プロジェクトで選べてびっくり
トラ技付録だったかのspartan3試したくなりました
なんでwin10版じゃ選べないのかわけわからない・・・
0621774ワット発電中さん
垢版 |
2019/01/28(月) 17:44:04.33ID:GyB6WugJ
>>619
virtualbox上の奴は6専用だけどドングルなくてもデバイスサイズ制限が無いはず。
0623774ワット発電中さん
垢版 |
2019/01/28(月) 19:21:31.25ID:j/llhHTm
>>619>>622
情報ありがとー

VMの利点なんてわからんよ
Linuxならプロジェクトのパスに空白文字や日本語が入りにくい(余計なトラブル予防)くらいしか思いつかん
0624774ワット発電中さん
垢版 |
2019/01/28(月) 22:18:29.70ID:ljbHJy/+
>>623
使い方次第だよ
スナップショットで常にクリーンインストール状態に戻れるし
ウィルスに感染しそうなソフトをインストールするとか、Webサイトを
開くとか何でもやり放題
感染したと思ったら、スナップショットで戻せばすべて元に戻る
一つOSをインストールしておけばクローンでいくつでも新しい環境作れる
(イメージとしては10個win7環境作っても使用するDisk容量はwin7一個と
 10個のソフトインストール分で10個別々の環境が作れるため、ほかの
 ソフトによる影響は全くない)
0625774ワット発電中さん
垢版 |
2019/01/28(月) 22:28:49.13ID:c8VrjPKW
>>624
いや、仮想マシンのメリットは十分わかります。
ですが、仮想マシンと抱き合わせ状態でインストールする以外選択肢がないインストーラーってそれ相応のメリットがなきゃおかしいんじゃないかと。思いました。

選択肢としての仮想マシンは十分わかるしアリでしょう。

開発環境、ターゲットに合わせて容易に環境を構築&維持&保守のためいつでも引っ張り出してくるのは十分メリットになると思います。
0627774ワット発電中さん
垢版 |
2019/01/29(火) 23:05:36.00ID:4CupRLpD
>>626
Windowsのライセンス料的な問題では?
0629774ワット発電中さん
垢版 |
2019/01/29(火) 23:20:34.53ID:8C48F6dc
VMWareはQ9550あたりの古いCPUではインスコさえできなくなったな
インスコさえできればバージョン落として使えるんだけどな
0630774ワット発電中さん
垢版 |
2019/01/29(火) 23:21:37.81ID:8C48F6dc
>>624
>スナップショットで常にクリーンインストール状態に戻れるし
いろいろ悪さしてそーだなwwww
0631774ワット発電中さん
垢版 |
2019/01/30(水) 00:19:37.96ID:w7w7qA7M
あれ?
仮想マシン使えばLANボード買い換えなくて済むってことか?w
0632774ワット発電中さん
垢版 |
2019/01/30(水) 06:33:29.86ID:UiiQUKU1
こうしてcrackサイトになるのかな
ヤヴァイことはonionで?
0633774ワット発電中さん
垢版 |
2019/01/30(水) 14:21:58.95ID:REKnNMsg
ばーちゃるぼっくすのLANのMACをざいりんくすのどんぐるのMACにすると.
0634774ワット発電中さん
垢版 |
2019/01/30(水) 18:32:15.44ID:w7w7qA7M
>>633
え?xilinxのドングルってLANのMACアドレス持ってるの??
USB-LANアダプターとかなの?
0636774ワット発電中さん
垢版 |
2019/01/30(水) 19:04:54.06ID:nSYxd2tk
合成ツールのライセンスがMACアドレスと紐付けされてるって話だろ
だから、ライセンス一つしか買ってない奴を(ry
0642774ワット発電中さん
垢版 |
2019/02/02(土) 07:51:51.15ID:ZjiO2n0P
XilinxのDLLと一般的なPLLの違いって何?PLLにできてDLLにできないことまたはその逆ってなんですか?
CDMAの同期回路でもDLL(同じ名前)があります。相関器2つ使ってその差をとって+ピークと-ピークの間の0を目指して、
クロック生成を速めたり遅くしたりして同期をとりますが、
相関器に食わすのはPN系列(単なる1010・・・だとピークが出ない)じゃないと使えません.
XilinxのDLLと、動作原理は違いますか?
0643774ワット発電中さん
垢版 |
2019/02/02(土) 07:54:05.98ID:ZjiO2n0P
>>642
CDMAのDLLは上で書いた
>クロック生成を速めたり
というより、

PNの生成を早めたり遅くしたり

とするほうが的確だと思うので訂正します
0648774ワット発電中さん
垢版 |
2019/02/02(土) 16:40:01.81ID:/AwjITUd
>>642
昔アナログICの内蔵PLLを開発途中で
DLLに切り替えたけど、
DLLはジッタのピークが高いかわりに短期間で収束してたよ。

PLLの方が1クロックあたりのジッタは小さくできるね。
0649774ワット発電中さん
垢版 |
2019/02/04(月) 02:07:39.70ID:n0/LcknX
>>648
なるほど
ロックタイムがDLLのほうが短いがジッタは多いってことですね
キャプチャレンジとロックタイムもPLLと同じようにレンジを狭くするとタイムが長くなるとかあるんですかね?
最大の疑問はXiはなんでDLLやめちゃったんですか?やっぱジッタでしょーか?
0650774ワット発電中さん
垢版 |
2019/02/19(火) 20:12:49.02ID:Pz/qLTNj
すまん。知ってる人いたら教えて。
モデルシムで
Error (suppressible): (vsim-3601) Iteration limit 5000 reached at time 4072500 ps.
ってでてシミュレーション進まないときの対処法なんだけど

ネットで検索したらttp://ytkyk.info/wiki/vhdl/ModelSim%E3%81%A7%E3%82%B7%E3%83%9F%E3%83%A5%E3%83%AC%E3%83%BC%E3%82%B7%E3%83%A7%E3%83%B3%E3%81%8C%E5%81%9C%E6%AD%A2%E3%81%97%E3%81%A6%E3%81%97%E3%81%BE%E3%81%86.html
に代入が適切でないってのがあったけど、それは無いっぽい。
因みにコメント書いているのが俺で一時的には直ったけど、すぐ再発して直らなくなった。

always #(CYCLE/ 2 ) CLK<= ~CLK;
クロック作成の為に書いてる上記記述を削除すれば、直るけど、
クロックないから何も確認できない。

コメントのPLL除去とモデルシム再インスコとPC再起動とかはしたが直らん。助けて下さい。
0652sage
垢版 |
2019/02/19(火) 23:22:30.88ID:Pz/qLTNj
>>651
回答Thx.
ちょっとまだ試せてないんだけど、一応別手段で解決できた。

シミュレーションしながらテスト対象のソース(テストベンチではない)いじってて、
対象ソースをモデルシムのコンパイルとQuartusで論理合成通しながらシミュレーションしてたから
合成不可やとんでもないのはないと思い込んでたんだけど、対象ソースに問題あったわ。
条件の記述でif(cnt1> (data1- 1 ))みたいに条件の比較対象を演算(演算結果が残るタイプではないけど)してたのがまずかったみたい。
if(cnt1> data1 )にしたら解決(data1を前もって減算しておかないといけないけど)した。
0657774ワット発電中さん
垢版 |
2019/02/28(木) 14:47:21.53ID:9FtFCHNL
     )、._人_人__,.イ.、._人_人_人
   <´&#160;天狗じゃ、天狗の仕業じゃ! >
    ⌒ v'⌒ヽr -、_  ,r v'⌒ヽr ' ⌒
// //&#160;///:: <   _,ノ`' 、ヽ、_ ノ  ;;;ヽ  //
///// /::::   (y○')`ヽ) ( ´(y○')    ;;|  /
// //,|:::     ( ( /    ヽ) )+     ;| /
/ // |:::     +  ) )|~ ̄ ̄~.|( (       ;;;|//&#160;////
///&#160;:|::       ( (||||! i: |||! !| |) )      ;;;|//&#160;///
////|::::    +   U | |||| !! !!||| :U   ;;; ;;;| ///
////|:::::       | |!!||l ll|| !! !!| |    ;;;;;;| ////
// / ヽ:::::       | ! || | ||!!|    ;;;;;;///&#160;//
// // ゝ:::::::: :   | `ー----−' |__////
0660774ワット発電中さん
垢版 |
2019/03/02(土) 11:19:17.02ID:ToMH/NuX
厄除けしたところで冷静になって判断できるようになったってかな
0661774ワット発電中さん
垢版 |
2019/03/05(火) 22:10:57.74ID:ZjUZ7Bf3
こんにちは。
XilinxのMicroBlazeに接続するAXI-LITE SlaveのIPを作りたいのですが、
MicroBlazeのメモリ空間に24bit(16Mバイト)分、そのIPを割り付けたいと考えています。
VIVADOのCreate and Package New IP では、そんな広い領域を使う設定ができないのですが
どのパラメータをいじれば良いかわからず困っています。
ヒントを頂けると助かります。
0664774ワット発電中さん
垢版 |
2019/03/07(木) 22:55:12.75ID:xUVDZgrK
>>662
バンク切り替え、ページ切り替えはちょっといやねんです。
すいません・・・
0666666
垢版 |
2019/03/08(金) 08:19:01.10ID:IAJu6WJa
666
0668774ワット発電中さん
垢版 |
2019/03/08(金) 16:18:45.15ID:dZjooTzd
DE10-nanoに付いてるCycloneVって
エラッタに書いてあるPLL位相いじった時のバグってあるのかな?
0669774ワット発電中さん
垢版 |
2019/03/08(金) 21:48:42.98ID:9LiyN/3J
>>668
みなさん、ありがとうございます。
解決しました。

VivadoのGUI上のIPのアドレスを設定する箇所があるのですが、どのIPもアサインされていない
50000000h番地に自分のIPアサインしたところ、256Mバイトまで使えるになりました

> Slave をフル実装は大変だけど
AXI-Lite の簡単なやつを最近つくりました。FPGAの部屋の人が公開している AXI Masterの
シミュレーションモデルのおかげです。ありがとうございました。
0670774ワット発電中さん
垢版 |
2019/03/12(火) 21:47:37.92ID:AQtv01sw
こんにちは。お初です。

自分昔フルカスタムで、LCDソースドライバ作ってた人なんだけど、
いまは全然違う仕事やってて、憂さ晴らしにFPGA工作(RTL記述)をしようかとおもって
Zybo Z7とDE0とPapilio duoの3つを手に入れて、「ガジェット作るぜ!」って気になってるんだけど。

ここでふと気が付いた。

Xilinx系のToolとALTERA系のToolを1台のwindowsマシンにインストールするのは
動作とか、各種ファイルの紐づけなどを考えるとやめておいた方がいいのかな?

自分は、一応書斎にはデスクトップPC(WIN)が2台あって、
X399+2950とX299+79xxXだけど、
XilinxはX399系、ALTERAはX299系とマシンを分けておいた方がいいんだろうか?

分かる人いたら教えてくださいデス。
0672774ワット発電中さん
垢版 |
2019/03/12(火) 22:13:14.80ID:AQtv01sw
>>671

おお。レス産休。
そうか。コンフリクトはしないのか。じゃぁ作業マシンのX399に全部インストールしようかの。
0674774ワット発電中さん
垢版 |
2019/03/13(水) 03:15:11.17ID:4N4B5rJH
>>670
別にディレクトリわかれているので1台に両方複数バージョン入れようが何の問題も起きたことネーヨ
0675774ワット発電中さん
垢版 |
2019/03/13(水) 03:18:27.43ID:4N4B5rJH
>>673
>その発想は無かったわ

確かに。
「マザボのチップセットでFPGAの論理合成ツールと配置配線ツールが別の動作をするかも知れないぼく怖い」
イミフ
0676774ワット発電中さん
垢版 |
2019/03/13(水) 04:28:45.36ID:1kglBdEa
>>674

ディレクトリが分かれてるから大丈夫か。
自分が心配したのはファイルの拡張子の紐付けがぐちゃぐちゃになって
お互いに動作時に不都合起きなきゃ良いけどって思ってた

x399とかx299ってのはpcの呼び名であって
別にチップセット違うからEdaの動作が変わるとは思ってないよ
0680774ワット発電中さん
垢版 |
2019/03/13(水) 09:39:26.16ID:WZxQI+QJ
>>676
そういうのは環境変数でやってるだろ。もう少し勉強しろ。

ましてや最初の質問だとツールも違うだろ?
IEとEdge同じPCに入ってて不安か?今じゃchromeもあるだろ。
自分の質問の非常識さを理解しろ。何枚開いても1ページしかサポートしてなかった時代でもねえのに。
0681774ワット発電中さん
垢版 |
2019/03/13(水) 10:48:36.84ID:KIO/LNYd
いやieとedgeとchrome入ってたら
拡張子区別のファイルのデフォルト呼び出しが
どれかに限定されたりするだろ

それを連想したんだよ

環境変数で限られたキーしか効力を持たないならそりゃそうかだがここのツールのそこらへんまではいきなりはわからんだろ

頭ごなしに非常識とかw
社会人として通じてるか?
パワハラアスペルガーとか言われてないことを祈るばかり
0683774ワット発電中さん
垢版 |
2019/03/13(水) 13:33:22.28ID:9MSDOSBe
まぁ確かに、VCのランタイムライブラリがバージョン違いで何種類もインストールされてると
ホントにコレ平気かな…?とか思うときはあるw
0685774ワット発電中さん
垢版 |
2019/03/13(水) 17:45:27.57ID:xmw5B9UU
先が思いやられるな
拡張子拡張子って、.v, .sv, .vhd 以外に何かあるか?
そもそもこの辺のソースファイルは自分のエディタで開くだろうし

そういえば、随分前にXilinxのセミナーで「ISEでコメントに日本語使ったらファイルが壊れたプギャー」って叫ぶオッサンいたの思い出した
このおっさん、FIFOを「ふぃーふぉ」って言ってたわ
0686774ワット発電中さん
垢版 |
2019/03/13(水) 19:07:05.25ID:1kglBdEa
んー。既に使っていて分かってる人からすると、当たり前な事なんだろうけど

>拡張子拡張子って、.v, .sv, .vhd 以外に何かあるか?

こういうのは本当のところは良く分からないが答えじゃないか?
ユーザーとのIFに使ってるのは確かに上の3つだけど、
システム内部で変に固有の拡張子のfileを置いていて、
XとAでたまたまバッティングしたとかいう場合も想定するだろ。

俺も昔は一人で閉じた環境で設計してた学生の頃は同じ認識だったけど
社会でほんまもんのすたセルフローとか知ると、福栖のベンダーのつぎはぎになってきて
一人で全部のシステムを」把握できないというのが前提だと分かってからは
一人の勝手な想像で言わず、一応念押しで当たり前な事も聞くようにしているわ。

FPGAも同じじゃないか?
0687774ワット発電中さん
垢版 |
2019/03/13(水) 19:08:09.89ID:1kglBdEa
タイポ多いけど気にせんといてや。寝不足で手元おかしい。
0689774ワット発電中さん
垢版 |
2019/03/13(水) 19:19:30.67ID:1kglBdEa
いいよ。実績ベースでみると、関係ないって言う情報が手に入ったから。

けど、自分自身が先陣切ってヒトバシラーになる場合は、とりあえずはVM上で動かすんだろうね。
0690774ワット発電中さん
垢版 |
2019/03/13(水) 19:59:54.57ID:NlVmMEG2
>>689
人柱って、、、
早速問題ないとレスした671、674が不憫やの

ちなみにうちもIntel,Xilinx,Latticeのツール入れてるがそれでトラブったことはない
0692774ワット発電中さん
垢版 |
2019/03/13(水) 22:11:32.77ID:7BpK5FQ5
拡張子かぶったところで、
そいつを直接ダブルクリックするとかいうファイルじゃなければ、
アイコンが変になる程度の害しかないだろ
0693774ワット発電中さん
垢版 |
2019/03/14(木) 09:42:41.36ID:1Bjt33sZ
>>692
その通りだよな
シェルが自動で開くアプリを判断するのに使うだけで
開発ツールが自分が使うファイルを拡張子で判断するなんてあるはずがない
0694774ワット発電中さん
垢版 |
2019/03/14(木) 10:55:08.66ID:Rm1DBhuM
そのへんの理解度でお察し
USBのドライバが他のツールとぶつかったことはあった
詳しいことは教えてやんない
0696774ワット発電中さん
垢版 |
2019/03/14(木) 15:27:53.81ID:wwheFBYO
jungo windriverには注意
バージョン違いでも動かなくなることがある
0697774ワット発電中さん
垢版 |
2019/03/14(木) 18:25:54.55ID:cqjoRbUe
なんかここのスレって重箱の隅をほじくるようなキャラ多いな。

一応いまXのtoolインストール中だから、入れ終わったらまた来る。

とりあえず、Zyboの環境作るわ。
0698774ワット発電中さん
垢版 |
2019/03/14(木) 23:13:05.37ID:ZsXwFvPB
日頃から重箱の隅を突くようなテストベンチばっかり作ってるからな。
0699774ワット発電中さん
垢版 |
2019/03/15(金) 00:25:29.74ID:skAJpfxh
quartusを複数バージョン入れてるとqpfを特定バージョンで開きたい時に
ダブルクリックだとあかんのよな
たぶん最後に入れたバージョンのexeに紐づけされるから
0701774ワット発電中さん
垢版 |
2019/03/15(金) 23:27:44.49ID:VA9uSXo8
おまいらが言ってる意味わかったわ。

ISE14.7 webpack
Vivado 2018.3/Vivado HLS/SDx IDE 2018.3
QuartusU13.1 webpack

をインストールしたけど、ISE 14.7はVM上で動くのな。
俺が分かってなかったぜ・・・・。orz.....
0702774ワット発電中さん
垢版 |
2019/03/15(金) 23:41:28.29ID:VA9uSXo8
おまけにISE14.7の.Zipを解凍するには、WSL上からやった方が確実なのな。
知らなかったぜ・・・。 o....rz
0705774ワット発電中さん
垢版 |
2019/03/16(土) 13:10:29.22ID:BGlhQcrW
>>702
あのさー
windowsインスコして、とりあえず真っ先にインスコするアプリは winrar だぜ
当然KMSPicoより先だ
0706774ワット発電中さん
垢版 |
2019/03/16(土) 13:15:26.64ID:Jay+ZD/t
>>705

それ入れようと思ったが有料だったので腰が引けて、普通にWSLのunzipコマンドで解凍したわ。
0709774ワット発電中さん
垢版 |
2019/03/16(土) 14:56:20.77ID:f2zw9Z2N
7zip入れろよ
rarも解凍は対応している
付属のファイラーは結構使える
0710774ワット発電中さん
垢版 |
2019/03/16(土) 15:27:33.63ID:BGlhQcrW
7zipてリカバリ機能あったか?
わざと1バイトエラー起こして、わかるやつだけが正しく解凍できるとかの芸当できたかな?
おそらく7zipで圧縮したものは全てwinrarでも解凍できるはず
0711774ワット発電中さん
垢版 |
2019/03/16(土) 17:06:21.46ID:VUyfLaJw
rarのリカバリーレコードつきは解凍出来るのwinrarだけだと思ってた
0714774ワット発電中さん
垢版 |
2019/03/17(日) 13:28:57.27ID:h9JPm+tb
ある日からメインメモリが2Gバイト中1ビット故障してロード/セーブの度に
データが化け続けたことがある
0715774ワット発電中さん
垢版 |
2019/03/17(日) 14:18:56.12ID:4A6lYtM2
>>713
ほんとパープリンだなお前
わざと1バイトエラーを発生させるといってるんだよ脳タリン
0716774ワット発電中さん
垢版 |
2019/03/17(日) 16:32:37.30ID:q3xc5qqL
放射線で何ビットデータ化けするか実験してw
0718774ワット発電中さん
垢版 |
2019/03/17(日) 22:42:42.22ID:4A6lYtM2
XIlinxがなんでやるんだ。
チョサッケンブツを無許可で人に手渡すときの偽装に決まってるだろが
0719774ワット発電中さん
垢版 |
2019/03/18(月) 01:39:12.38ID:WHIujk93
その発想は無かった
使う事は無いかもしれんが覚えておこう
0720774ワット発電中さん
垢版 |
2019/03/18(月) 06:29:12.69ID:FCdYwOCu
わからんやつは
あー、ファイル壊れて解凍できねー
で、あきらめる

著作権物の部分的送信が違法明記されてなかったときには特に有効だった
0729774ワット発電中さん
垢版 |
2019/03/19(火) 19:13:30.55ID:9SNc4K42
しかし、快調なはずのルネサスが慢性的に栗鼠虎やってるののはなんで?

自分の勤務先のある部門(非設計)に元ルネのひとがやってきたわ。

仲良く仕事してるけど。
0731774ワット発電中さん
垢版 |
2019/03/19(火) 23:34:16.08ID:7597+5ru
>>729
そのあなたの同僚になった人は、ルネでは栗鼠虎の大将だったんだなwww
0732774ワット発電中さん
垢版 |
2019/03/19(火) 23:40:59.63ID:MkabWii/
快調? どの世界のルネサスの話でしょ?
ttps://www.sankei.com/economy/news/190307/ecn1903070002-n1.html
ttps://diamond.jp/articles/-/196285
0733774ワット発電中さん
垢版 |
2019/03/20(水) 02:05:55.40ID:J2OWycmX
各社統合した時にさっさとリストラして、人減らしとけばよかったのに。
0734774ワット発電中さん
垢版 |
2019/03/20(水) 19:43:54.53ID:QfDHGr+0
>>732

ルネは去年の初めごろはイケイケのいい状態だった。
去年の暮れから、あれれれれ?ってなってったよ。
0735774ワット発電中さん
垢版 |
2019/03/20(水) 20:58:13.51ID:lyUnwaZH
逝け逝けの状態
それを一般に断末魔と言う
0736774ワット発電中さん
垢版 |
2019/03/20(水) 23:51:18.92ID:4WbQ1N2e
村田は一頃よりは落ち着いたってだけで相変わらず繁忙だというのに何がこうも違ってしまったのだろうか
0739774ワット発電中さん
垢版 |
2019/03/21(木) 07:08:18.71ID:TfZOba8d
>>738
コンデンサは売れるけどCPUが売れない現実的なシチュエーションが想定できない
0742774ワット発電中さん
垢版 |
2019/03/21(木) 12:05:41.57ID:KqRBk1OM
>>741

ぶっちゃけ、電子部品系メーカーの人って人格として偏りが大きいよね。
自分なんかは多少自覚があるからある程度のマージンを感じて会話するけど、
無自覚な人のアスペ感たるや半端ない。正直周りは疲れるよ。

勤務先もいろんなことがこれまであったので、
極端に理系な感じの人は某S社厚木に転職していくけど、
彼らの真似する気はないわ。俺が某S社厚木とかにいったら
ハチの巣にされて心折れるwww
0743774ワット発電中さん
垢版 |
2019/03/21(木) 12:16:49.07ID:PE/+c/RG
>>742
ああ、SONY 厚木とか。潰れていいわ
あそこ、役員がREVSONIC立ち上げて架空派遣で東京地検特捜部に逮捕
社長同じで、LSIテクノ立ち上げて
UKCに吸収させて
結局末端の技術的に派遣でやらせて自分らは甘い汁吸っているだけだろ

はっきり言って。日本の半導体産業が衰退するのは、人格形成の問題で至極当然
0747774ワット発電中さん
垢版 |
2019/04/03(水) 03:21:03.41ID:mGKyRTyD
IntelはStratixの後継作るってさ
やる気あるんだかないんだか?
0750774ワット発電中さん
垢版 |
2019/05/03(金) 20:34:40.65ID:W6FwbqT9
思いつきなんだが今のFPGAなら液晶コントローラーを作れるかな?
TMDSを入力にパネルとバックライトを制御する。TVにも負けない高性能な奴
0751774ワット発電中さん
垢版 |
2019/05/03(金) 21:37:59.68ID:jjBihbuE
>>750
市販のFPGA1コアで8k以上を制御できるかと言われたら無理でしょ。
良くて専用チップじゃない?
0752774ワット発電中さん
垢版 |
2019/05/03(金) 22:27:35.85ID:W6FwbqT9
>>751
ひとまずは2kで良いです。ぶっちゃけ
ttp://www.mitsubishielectric.co.jp/news/2008/1022-a.html
みたいなコンセプトのモニターが欲しいんだけど今何処も作っていない→すでに10年以上経過しているし自作できない?とか思った
重視しているのは非TNパネルを高速駆動、残像低減、低遅延。欲を言えばフレーム間補間機能も欲しいけど流石に大変そうか

専用チップでもかまわないけど高性能で入手性の良いチップってあるのだろうか
0753774ワット発電中さん
垢版 |
2019/05/03(金) 22:52:31.30ID:saI2qOI/
>752

ロマンを求めるなら自作だけど、VISEOみたいなモニタは。現在では液晶テレビや有機ELテレビにそういう処理をするチップ入りのものがあるよ。
家電店で探してごらん、代用になると思うよ。
0754774ワット発電中さん
垢版 |
2019/05/04(土) 00:46:37.08ID:/PkgOjsf
>>753
すでに探した上での話なのですが・・・VISEOに相当する機能を持つTVほぼ例外なくでかいように思います
40インチ以上なんて置き場所がないですしゲーム的に広視野角はデメリットとなるので24インチ前後のが欲しいです
現状1.2m程度の距離でMDT242WG(基本的にMDT243WGと大差ない)を使用しています

自力で探した中で近しい製品のはこの2つかな
EIZO FORIS FS2735 バックライトブリンキングのみ。実力不明。これでもでかい。さらに離さないと
SONY PVM-A250 ほぼ最強だが値段もほぼ最強
どちらもう〜ん・・・
0755774ワット発電中さん
垢版 |
2019/05/04(土) 16:05:14.03ID:nqaxdNjM
ゲームするなら高リフレッシュレートで良くないか?
動画の視聴ならともかく、GPU内でも3フレームくらいは遅れるようだし、現状最高のリフレッシュレートのゲーミングモニターじゃダメなのかな
0756774ワット発電中さん
垢版 |
2019/05/04(土) 18:26:10.79ID:75BBf73q
既成モニターの垂直同期信号を取り出して(出来るのか?)バックライト制御回路を追加・改造する方が現実的だろうか?
液晶コントローラーを自作するより難易度は低そう。効果のほどは判らないけど

>>755
ゲームと言っても遅延より低残像を重視しています
店頭で1msecを謳っているTNパネルのゲーミングモニターを見せてもらいましたが
バックライトスキャニングをONにしたMDT242WGの方が残像が少なかったです

現行のゲーミングモニターが10年以上前のモニターに劣るとは何事かと思ったけどそんな物らしい
残像低減機能が付いている機種は数えられるくらいしかなくてパネルかサイズか値段を妥協する必要がありそう
0757774ワット発電中さん
垢版 |
2019/05/04(土) 21:56:53.98ID:nqaxdNjM
インパルス駆動式って言うらしいね
バックライトが高輝度短時間発光となると
パネル自体が特殊なんじゃないか
となると、中古品でも予備を買った方がいいかもね
もしホールド駆動式をインパルス式にすることが出来ても輝度が下がるよ
バックライトの導光板とライトの配置はパネル毎の設計だろうから、バックライトを交換も難しいかも
0758774ワット発電中さん
垢版 |
2019/05/05(日) 13:02:46.55ID:UBaFBtJY
>>757
MDT242WGのパネルの詳細は不明ですがパネルとバックライトを分離できるようです
デューティーをどのくらいに出来るのかにもよると思いますが最近のバックライトは過剰と言えるとほど明るい事を考えると
画面の輝度に関しては実用的なレベルを維持できそうな気はします

MDT242WGもしくはMDT243WGはバックライトがCCFLかつ形状が特殊(U字管)なことを考えるとメンテしながら使い続けるにも
限界がありそうです。先代のMDT241WGの分解写真ですが
ttps://minkara.carview.co.jp/userid/622131/blog/25942942/
結構な変態・・・こんな管を売っているところは見つけられていないですしダメになったらAssy丸ごと新造する必要がありそうです
0759774ワット発電中さん
垢版 |
2019/05/06(月) 07:40:36.64ID:mVkpGIGn
長谷川さんよ、派遣業とは笑わせんなw


株式会社エッチ・ディー・ラボ |

勤務地
神奈川県 逗子市

給与
月給 26万 ~ 47万円
0761774ワット発電中さん
垢版 |
2019/05/06(月) 07:49:27.71ID:mVkpGIGn
>>759
技術力が無いと言い切ったんだから、技術力のある貴方が三菱への派遣として行けばいいんじゃね
0765774ワット発電中さん
垢版 |
2019/05/19(日) 02:36:37.41ID:1JfWJ19e
手配師ばっかりでなぜ自分で仕事しないのばっかりなんモウダメポ
0766774ワット発電中さん
垢版 |
2019/05/20(月) 21:50:13.92ID:bXo1K3Yg
IntelもXilinxもファーウェイへの製品供給停止……
FPGAないと基地局とか作れないけどどうすんだ
0772774ワット発電中さん
垢版 |
2019/05/23(木) 19:30:28.69ID:Mxm8TF48
んでも、ramの論理テーブルのアレはxilinxかなんかの特許やろ…
それこそ特許利用の契約無理やろ…
0774774ワット発電中さん
垢版 |
2019/05/23(木) 21:02:46.75ID:Ge4/vmet
つーかintelもxilinxも他の半導体メーカーも、出荷停止で株価がガクッと下がってんな
そら明らかな大口顧客が無くなるわけだしな
0776774ワット発電中さん
垢版 |
2019/05/23(木) 23:45:10.27ID:blS3Erla
華為に売らない、買わないやってても
ZTEと華為は5Gの最大のエッセンシャル特許オーナーだろ?
どーすんだろ?寝てても金舞い込んでくる
今の技術でなんとか実現できるのが5Gで、5Gを運用してみないことには
6Gへのアイデアも問題点も出てこない
0777774ワット発電中さん
垢版 |
2019/05/23(木) 23:59:58.13ID:FUvFzNDM
>>772
米向け取引が完全に無くなれば、特許なんて勝手に使い放題だろう。
特許侵害されても、どこにも訴えようが無い。
0778774ワット発電中さん
垢版 |
2019/05/24(金) 00:15:13.79ID:j6kNQ1u0
特許を使うどころじゃなく
寺かXiのツールでまんま使える自社チップを作るんじゃね?
価格をさらに下げてアフリカは完全にシナ製品に染まると

西側から完全に供給が止まるなか
キャノンのナノインプリントが不正輸出されてましたというニュースが流れる夢を見た
0780774ワット発電中さん
垢版 |
2019/05/24(金) 08:31:46.74ID:/mfHU3Kl
自国で作ることができない我々は、今回のことで中国が自国でなんとかできるようになったら恩恵の方が大きい。

交易が元にもどっても、代替品が作り出されていれば、(仮に我々が中国発の代替品を使わなくても)競争原理がはたらくからな。
0782774ワット発電中さん
垢版 |
2019/05/24(金) 09:54:08.17ID:j6kNQ1u0
>>781
論理合成だの、フィッタだのそーゆー部分はいくらなんでも自前は無理じゃねーの?
そうじゃなくQuartusだのVivadoでまんま使える互換チップなら作れるかもしれないと思ったんだけどね
0784774ワット発電中さん
垢版 |
2019/05/24(金) 20:24:20.90ID:XrMTQWeU
どこまでXのそっくりコピー品ができあがるか知らんけど
いまは開封解析会社ってのが有るぐらいだから、
そうとう中身については詳しいことわかってるだろうね

メタル層を一枚づつはいで、なおかつ、配線とTrの関係から
回路図起こしてくれるらしいしね。

しいて言えば、コピーエギザクトしたとして、
すぐにQuratusやVivadoにコピー品ガードを施されるのが
落ちな気がするが
0785774ワット発電中さん
垢版 |
2019/05/24(金) 20:59:14.87ID:Iz+d7NjF
>>784
はあ?
工場は台湾にもあって、データは当然工場にあるし、
Xiの中にも、Intelの中にも共産党員はいっぱいいるんだから、
データ持ち出してそのまま完コピだろう。
コピーガードなんて、中国じゃ、はずされた不正コピーが山ほど売ってる。
0788774ワット発電中さん
垢版 |
2019/05/24(金) 22:23:49.40ID:j6kNQ1u0
しかし、
シナ製品をいくら止めたところで5Gの特許料が流れ込むんだよな。
もちろん会社規模は今のままじゃやっていけないだろうが、息の根止めることはできない
会社がなくなっても共産党には継続して金が舞い込んでしまう
規格制定会議とかエンジニアが大挙して出席してたっていうしな
日本企業は現場のエンジニアに継続して、そういう対応させてこなかった
完全に存在感なくなってしまったよな。メーカはNTTドコモにさんざん振り回されて疲労困憊
国民の電話加入権の上であぐらかいて巨大な研究機関もってるNTT何してんの?
0789774ワット発電中さん
垢版 |
2019/05/24(金) 23:34:22.24ID:6XDYRPBO
Xilinxのダウンロード・ケーブルの互換品で安くていいやつ、ないですかねぇ。
0792774ワット発電中さん
垢版 |
2019/05/25(土) 11:58:06.25ID:BLkJ3f0Y
 https://www.cnx-software.com/2018/09/04/licheetang-anlogic-eg4s20-fpga-board-targets-risc-v-development/

中国オリジナルの FPGA なんて既にあるぞ。 もちろんツールもある。Anlogic EG4S20 とか特許切れの技術しか使ってないように見える。
FPGA なんて技術が遅れていても高集積化で埋め合わせできそうだし問題ないんじゃないの?

台湾の TSMC が輸出規制したら、高集積度チップの製造自体が出来ないが、多分そんなことはしない。
0794774ワット発電中さん
垢版 |
2019/05/26(日) 07:54:50.09ID:t/qhFqOn
>>792
>特許切れの技術しか使ってないように見える。

それでも、製品のバリエーションというか幅の広さということでは日本完敗だな。
日本オリジナルチップのFPGAなんて市販レベルじゃ記憶にない
日本はチャンコロの穴の匂い嗅ぐしかないのか?
0795774ワット発電中さん
垢版 |
2019/05/26(日) 08:37:27.07ID:Br5Jc1Av
>>794
不自由や必要性を感じていないから身が入らないのが実情じゃないですかね。
現状では売ってもらえないわけでもないし(というかその逆かも)。

でもファーウェイまわりのことを見ているとそういう事態は突然始まることも
あるんだな、と戦慄する。
そのときになって急に自前で何かできるか、って難しそう。
0796774ワット発電中さん
垢版 |
2019/05/26(日) 10:51:38.17ID:YCHSBVBD
>>795

某国内ベンダーの中の人だけど
不自由や必要性は結構重要なメンバーは感じてるよ。

けどFPGAって、論理合成ツールと、効率のいい論理合成にするための
回路的工夫のノウハウが絶大に大きくて、そこのギャップを埋めるだけの
IPを持っていない。
したがって勝てる勝算がないのでビジネス的に手を出さないという判断になってる。
0797774ワット発電中さん
垢版 |
2019/05/26(日) 14:00:21.67ID:Br5Jc1Av
>>796
すみません。
国内ベンダーの個々のエンジニアが危機感も感じないでのうのうとしてる、みたいな
書きっぷりだったかもしれません。

さすがにそうは思ってなくて、危機感や必要性を感じている人はいると思ってます。
でも企業全体でみれば、書かれている通りで、苦労してまでやってもメリットないわな、
みたいな判断になるのだと思います。
携帯電話やパソコンのOSも同じで。

でも今はファーウェイ関連の人たちは動いているかも。
0798774ワット発電中さん
垢版 |
2019/05/26(日) 14:14:10.33ID:YCHSBVBD
>>797

違うんだ。メリットは十分感じてるんだど、難しい課題が2つあるんだ。


・XやAほどの論理集積数を搭載できる回路的な効率化ができるほど
 回路アーキの工夫にノウハウがないこと
・論理合成ツールや高位合成ツールのノウハウがないこと

このノウハウを得るために必要な初期投資額を考えると、
費用対効果的にビジネス判断として却下なんだよ。

えてして、ベンダーの中に入るとわかるんだけど
ベンダーの中はあくまで実業ベースなので、
採算が取れないことはやらない。

苦労しても儲かればやるんだけど、儲かる絵が描けないなら
手を出さないってこと。

結局、技術理由もあるけど、経営事情が許してない。
0799774ワット発電中さん
垢版 |
2019/05/26(日) 15:01:29.25ID:Br5Jc1Av
メリットの有無は企業全体でみた場合なんで、当然ですが金勘定を含めたものという認識です。
0800774ワット発電中さん
垢版 |
2019/05/26(日) 17:47:35.87ID:mHAGUUff
国が金を出してくれりゃいいんだけどね
実際、米国にFPGAの出荷を止められたら日本終わりだし
0802774ワット発電中さん
垢版 |
2019/05/26(日) 20:54:49.81ID:m0G7oscO
3GPP、IEEEなどの通信規格が草案の段階から開発を始める必要があり、かつ製品リリース後もEoLまで後継規格をサポートし続けなければならない通信機器にはFPGA必須。移動体基地局だけでなくFTTHで使わるPONやxDSLなんかにもFPGAが搭載されてる。
0804774ワット発電中さん
垢版 |
2019/05/26(日) 21:46:29.91ID:Br5Jc1Av
ある業界の産業が潰えて外国製品ばかりになっても、普段の生活は問題がなくて
そういう視点で見れば、日本終わってるわけじゃない、って感じ。
だけど、首根っこ押さえられてしまうような状況だと、知ってる人からみれば日本終わったになるだろね。

影響をどんなふうに理解できるか、感じられるかの差なんじゃないですか。
0805774ワット発電中さん
垢版 |
2019/05/26(日) 22:09:07.57ID:3ZL8Yx51
放送系の設備も中身はFPGA
ストリーミングで映像処理してる

自動運転もFPGAが使われ始めてるかな
GPUと勝負中だけど、FPGAに転んだらFPGA屋が足りなくなるかもな

実は人工衛星でもそこそこの数のFPGAが使われていたりする
というか人工衛星の部品が海外に依存しすぎてて国が問題視してる

コアなところだと、日本標準時を供給してるNICTのNTPサーバはFPGAで作られてる
高精度な時刻生成はFPGAじゃないとできない
0807774ワット発電中さん
垢版 |
2019/05/26(日) 23:46:41.03ID:Oob4s5mx
fpgaのメリットはasic起こすほど数が出ない製品ってのが基本だわな
0808774ワット発電中さん
垢版 |
2019/05/27(月) 04:12:58.34ID:sj8HPkXM
FPGAがCPU並みの速度出せないのって物理的にしょうがないから?
0814774ワット発電中さん
垢版 |
2019/05/27(月) 12:23:23.70ID:E+plXw5D
ゲートアレーじゃFPGAと大差無いんじゃ?
フルカスタムにしないと配置に足を取られて速くならないよ
0815774ワット発電中さん
垢版 |
2019/05/27(月) 15:55:59.88ID:L+DCcuss
例えば5Gのように通信で20Gbps出すとなると、最低シリーズ処理で20GHzで動作する箇所は要るわけで、
FPGAだと並列処理可能な場所にしか使えないよね
0816774ワット発電中さん
垢版 |
2019/05/27(月) 17:30:38.99ID:N3ATdVYp
ゲートアレイのトランジスタもCPU特化じゃないからCPUとして作られたCPUほどの性能は出ない
0817774ワット発電中さん
垢版 |
2019/05/27(月) 19:06:46.23ID:nFvwBbJl
>>815
トランシーバーでさえ複数レーンに分割するから、
20GHzで動作する箇所など不要。
0820774ワット発電中さん
垢版 |
2019/05/27(月) 21:38:34.25ID:BobhFSVp
ゲートアレイとCPUのトランジスタって、そこは世代の違いこそあれ、本質じゃない気がする。
普通にアーキテクチャの違いだよ。物理的・回路的な。
0821774ワット発電中さん
垢版 |
2019/05/27(月) 22:18:13.76ID:N3ATdVYp
>>819
半導体の本でも買って勉強してくれ
違うことは知っているが、どう違ってそれがどう影響しているのかまでは知らん
0822774ワット発電中さん
垢版 |
2019/05/27(月) 23:20:56.52ID:muBM7qx5
ゲートアレイ(FPGAも含めて)トランジスタに結構パワーがある。
CPUというかフルカスタムだとギリギリのパワー。電圧も落とすし。
0823774ワット発電中さん
垢版 |
2019/05/27(月) 23:47:44.31ID:BobhFSVp
>>819

しょーがないなぁ。ざっくり書くよ。厳密じゃないけど。

FPGAのアーキは、SRAMで作ったnBitのLUTをユーザー回路ブロックにして、ここに論理回路を書き込む。
これの出力をFFにつなぎ、これを丸っと1タイルにして、これを並列に並べる。
この際、入出力の配線を用意して結線情報通りに結ぶようにスイッチをつける。
言ってみれば、組み合わせ回路+FFの塊を超並列させたようなアーキ。
これでゲートアレイやスタセル(logic限定)のような回路は組める。

他方、CPUは、メモリーとALUを用意して、メモリー内容をシークしていきながら、ALUに情報を投入して
出てきた答えをまたメモリーに返す。そういう順序回路的な回路なんだよね。これは普通ゲートアレイやスタセルで設計される。

厳密さ欠くけどこんな感じ。この種の技術分野は半導体技術の中でも「テクノロジ」と呼ばれる領域なので
色々ググってみてください。

こんな風に最初から回路の想定が違うので、
FPGAの場合は、回路パスが設定によって一義で決まらないので、遅いSRAMを引くのとsetup/holdは余裕みてるせいもあって、高CLKで回せない。
CPUの場合は、ALUをゴリゴリたたけばいいだけなので、定型回路を割と高CLK叩くことができる。

という事で、CLK周波数は、FPGA低い・CPU高いっていう傾向がある。
0824774ワット発電中さん
垢版 |
2019/05/27(月) 23:51:21.10ID:BobhFSVp
あと去年の応物のssdmに出た時に聞いた話は、
MRAMをつかったLUTで作ったFPGAの試作評価について。
MRAMはSRAMより小さく作れるし、おまけに、FinalTestでbitstreamを読み込ませたら
不揮発なので電源切っても回路を記憶するので、回路ローダーがユーザー基板上には不要。
という事で注目されているみたい。

T北大学だったか、ARMを実装した例が発表されてたな。

ただ、おれはよーわからんのは、MRAMって、チップ上から磁石でナデナデしたら、
bit情報化けたりしないのかな?そこの信頼性の部分がイマイチ理解できてない。
0826774ワット発電中さん
垢版 |
2019/05/28(火) 06:22:22.42ID:MXGkiOAL
>>817
最低入口と出口の速度調停用のFIFOには要るのと、
これまで、並列処理数やらパイプラインで処理してた部分も
5Gだとレイテンシの規定が厳しくてFPGAじゃ難しすぎないか?
レイテンシが緩いとFPGAの数増やせばなんとかなるかもと思うけど
0827774ワット発電中さん
垢版 |
2019/05/28(火) 06:56:43.83ID:fTh/88Dl
>>824
ちょっと前に話題になってたこいつだな
自分は詳しくないのでなんも言えないが、参考に

高速動作のまま桁違いの低消費電力化、東北大学が不揮発性マイコン開発
https://tech.nikkeibp.co.jp/atcl/nxt/mag/ne/18/00001/00073/

これは内閣府のImPACTプログラムの研究成果
https://www.jst.go.jp/impact/sahashi/index.html


>>826
知人がやってる
レイテンシの要求はかなり厳しいけどFPGAで頑張ってるみたいよ
というか、FPGAじゃないとまだまだリスクありすぎて開発できない
ASICとかはもう少し枯れてからじゃないと作れないだろうね
0828774ワット発電中さん
垢版 |
2019/05/28(火) 07:22:33.17ID:B/Zco53v
>>823
これは、回路構成の違いの話であって、トランジスタの違いじゃなくない?
0829774ワット発電中さん
垢版 |
2019/05/28(火) 09:00:47.34ID:7TcW4rvl
823だけど
基本的には回路の違いでトランジスタの違いではないよ
ただSRAM用のプロセスオプションやマイコン用プロセスオプションとか
使ってそうだから、そこまで含めると
プロセスも微妙に違うのかもと思う
0830774ワット発電中さん
垢版 |
2019/05/28(火) 12:04:58.96ID:B/Zco53v
>>829
トランジスタの違いを聞いてるのに…
なにトンチンカンなことをドヤ顔で説明してるのかと思った
恥ずかしい奴www
0831774ワット発電中さん
垢版 |
2019/05/28(火) 12:09:30.57ID:YgOa61LM
日本でFPGA技術持ってたってル○サスにいいように使い棄てられるのがオチ
0833774ワット発電中さん
垢版 |
2019/05/28(火) 12:36:56.86ID:5wQpay5y
どう使うかが違えば、トランジスタも違ってくるんだろ。そうじゃないのか竹中!
0834774ワット発電中さん
垢版 |
2019/05/28(火) 14:13:08.26ID:E/NF/pNb
同じ製造プロセスを使うのであれば、ゲートアレイだろうがCPUだろうが同じトランジスタだよ。
0835774ワット発電中さん
垢版 |
2019/05/28(火) 17:53:40.01ID:7TcW4rvl
真面目に言うと同じプロセスノードでも
特性調整の具合をプロセスオプションで指定して微調整したり
トランジスタの種別ごとに大別されてたりで
1ノード1トランジスタにはなってない

にわかもんは黙ってろw
0836774ワット発電中さん
垢版 |
2019/05/28(火) 20:16:37.29ID:1v1lu0vY
FPGAはField Programable Gate array
でありハードウェアの回路をプログラムできる。
通常のCPUではハードウェアをプログラムすることはできない。
0837774ワット発電中さん
垢版 |
2019/05/28(火) 20:22:31.38ID:Dc1G+zuE
ハードウェアをプログラムできるCPUも稀に存在するということでしょうか?
わたし、気になります!
0838774ワット発電中さん
垢版 |
2019/05/28(火) 20:35:59.60ID:wS7GwPwS
823、835だけどさ

というか、旧ALTERAにしてもXilixにしても、
ARMハードマクロ+FPGAマクロを1chipにしたASSPだしてるだろ。
ああいうの使えば、CPUに、自作の回路を接続して、なんかを処理するとかもできるよ。


あと1ノート、1トランジスタとかぶっきらぼうに言っても意味不明なのかな。

16nmのプロセスでも、2〜3種類用意されていて、それぞれTrの特性が違う。
その2〜3種のプロセスの中でも、異なるVthのTrが用意されていて、
リーク特性やスイッチング特性をチューニングできるようになってる。

俺個人のおすすめは、異なるVthを搭載せず、1種のTrで組むことだけど、実際には難しい。
0839774ワット発電中さん
垢版 |
2019/05/28(火) 20:36:09.21ID:1v1lu0vY
>>837
多種類のハードを用意しておいて
使いたい時だけwakupするなら
CPUでもありかもしれないけど
プログラマブルはソフトウェアの
領域のような気がする。
0840774ワット発電中さん
垢版 |
2019/05/28(火) 20:46:43.70ID:fniAtaNP
>>837
FPGAで作ったCPUはハードウェアをプログラミングできるよ
これですっきりしたかい?
0841774ワット発電中さん
垢版 |
2019/05/28(火) 20:58:30.64ID:nQO1m4Z+
>>831
アイツらバカだから、それはない。

上位設計を台湾に持っていかれるのがオチ
0843774ワット発電中さん
垢版 |
2019/05/28(火) 21:07:15.93ID:wS7GwPwS
動的再構成ではないがVLIWとか面白いアーキだったよね。CPU単体は詳しくないんだけど、どういう系譜でいまに繋がってるんだろう?
0844774ワット発電中さん
垢版 |
2019/05/28(火) 21:09:01.52ID:T1CiudbH
intel製cpuとかfpgaまでいかなくとも小規模なプログラマブルロジックいれてるだろ
0845774ワット発電中さん
垢版 |
2019/05/28(火) 21:12:59.27ID:wS7GwPwS
マイクロコードの実装自身が、いってみれば、プログラマブルロジックの考え方っぽいからね。
0846774ワット発電中さん
垢版 |
2019/05/28(火) 21:16:47.20ID:B/Zco53v
>>838
だから〜
自分で言ってるみたいに、CPUもFPGAも1ChipのASSPになっちゃうのに、「トランジスタが違う 」のはなぜかって聞いてるのw
Vthが違うの?www
0847774ワット発電中さん
垢版 |
2019/05/28(火) 21:24:38.25ID:wS7GwPwS
そうだよ Vthが違うトランジスタを混載してるからだよ

異Vth混載設計って聞いたことないの?
0849774ワット発電中さん
垢版 |
2019/05/28(火) 21:30:46.75ID:wS7GwPwS
赤っ恥だよな
人を馬鹿にしたら相手が合ってたこと言ってるんだもん
0850774ワット発電中さん
垢版 |
2019/05/28(火) 21:31:36.71ID:B/Zco53v
>>847
なるほど、なるほど〜
じゃあ、CPUエリアとFPGAエリア
どっちの方がVthが高いの?
0852774ワット発電中さん
垢版 |
2019/05/28(火) 21:36:31.48ID:wS7GwPwS
マジレスするとHLB毎にVthは変えられるので
FPGAセルとかCPUセルの単位でVthが違うと思ってる段階で素人確定
恥知れよ
0856774ワット発電中さん
垢版 |
2019/05/28(火) 21:41:30.07ID:p/fYhzHL
>>855
上から目線でウンチクたれたら、相手が思いの外知識があって、顔真っ赤になってるようにしか見えないなぁ
0858774ワット発電中さん
垢版 |
2019/05/28(火) 21:44:21.77ID:wS7GwPwS
もしかして真性のバカなのか?

HLBって分かるか?
CPUやFPGAなんかの中間階層のセルのことだが
そのセル毎にVthは2~4種類の中から好きに選べる
それを組み上げてCPUとかFPGAのマクロを組む
なのでCPUの単位やFPGAの単位で内部には複数のVth種別のトランジスタが使われてるんだよ。

ほんとここまで言わないと分からんとか池沼かガキだよな
0859774ワット発電中さん
垢版 |
2019/05/28(火) 21:46:20.07ID:wS7GwPwS
あとスタセルとSRAMセルのトランジスタの寸法やVthは全く違うしな
0860774ワット発電中さん
垢版 |
2019/05/28(火) 21:49:53.48ID:B/Zco53v
>>858
ですよね?
だから、CPUとFPGAのトランジスタの違いはVthじゃない
じゃぁ、CPUとFPGAのトランジスタの違いはなんですか?
さっき自分でVthだって書いてませんでした?
0861774ワット発電中さん
垢版 |
2019/05/28(火) 21:49:53.63ID:BLnhBTaS
そう言えば久しぶりにゲートアレイって単語見たわ。
うちもゲートアレイやってたけど、最近はセルベースばっかだな。
0863774ワット発電中さん
垢版 |
2019/05/28(火) 21:54:13.12ID:wS7GwPwS
何が言いいたい?くだらんマウンティングならおれはまともに相手にしない
0864774ワット発電中さん
垢版 |
2019/05/28(火) 21:54:39.63ID:B/Zco53v
>>862
大変申し訳ありません
なにせ素人なもので…
どのあたりが分かりにくかったですか?
0866774ワット発電中さん
垢版 |
2019/05/28(火) 21:56:19.15ID:B/Zco53v
>>863
「しょーがないなぁ。ざっくり書くよ。厳密じゃないけど。」
って始めたのは貴方でしょ?
最後までやりましょうよ
0867774ワット発電中さん
垢版 |
2019/05/28(火) 21:57:25.96ID:wS7GwPwS
真面目に言うと丸善からlsi設計のいい本が買えるからそれでも買って嫁
0869774ワット発電中さん
垢版 |
2019/05/28(火) 21:58:44.05ID:wS7GwPwS
結局粘着して論破論破って喜ぶタイプに見えるのでまともに相手にしません
0871774ワット発電中さん
垢版 |
2019/05/28(火) 22:01:45.44ID:p/fYhzHL
>>869
徹頭徹尾
「CPUとFPGAのトランジスタの違いを教えてください」
だったような…
0872774ワット発電中さん
垢版 |
2019/05/28(火) 22:06:15.20ID:wS7GwPwS
LSI設計はこれらを嫁
https://www.maruzen-publishing.co.jp/item/b294478.html
https://www.maruzen-publishing.co.jp/item/b294477.html
https://www.maruzen-publishing.co.jp/item/b293803.html

Vthがなにかよくわかんない感じだから
そっちに関しては基礎知識としてこれよめ
https://www.maruzen-publishing.co.jp/item/b293996.html

実際のところはT社とかU社とかG社の関係社外秘文書みないと分からないと思うけど。

最低限これら読んだうえでまともに聞こうな。
少なくともおまえの口調は人に尋ねる言葉じゃないだろう。
0875774ワット発電中さん
垢版 |
2019/05/28(火) 22:14:27.74ID:wS7GwPwS
ID:B/Zco53v の頭だとすると、872に挙げた本買えるだけの経済力すら怪しいなw
0876774ワット発電中さん
垢版 |
2019/05/28(火) 22:14:34.50ID:B/Zco53v
>>872
おぉ、ありがと!
TとGは使ったことあるけど、外注先から私まで機密文書は上がってこないねぇ
あ、Uは国内ベンダのバックアップ先だったかな…

で、CPUとFPGAのトランジスタの違いはなんですか?
素人に分かりやすく教えてください!
0877774ワット発電中さん
垢版 |
2019/05/28(火) 22:21:25.94ID:wS7GwPwS
金最低10万円よこして、「どうしても知りたいです。お願いします。教えてください。」と頭下げてくるなら教えてやってもいいが、
俺もお前みたいな質の低いのにまともに相手にならない。気分を害したし。

ただ、ヒント。

CPU単体のASSPだと、おそらく標準VthTrをつかって、一部速度を稼ぐ部分で、低VthTrを使う感じ。

FPGA単体だと、恐らくFPGAでも電池用途だと高いVthTr+LL版 SRAM Tr
コンセント用となら、標準VthTr+標準Vth SRAMtr
の組み合わせ。

組み合わせは多岐にわたるので、「CPUとFPGAのトランジスタの違いはなんですか?」って聞けるほど簡単な答えじゃない。
0878774ワット発電中さん
垢版 |
2019/05/28(火) 22:27:52.85ID:wS7GwPwS
バカにしてごめんなさいの一言も言えんのかこのクソガキが!
0879774ワット発電中さん
垢版 |
2019/05/28(火) 22:29:38.00ID:B/Zco53v
>>877
最初からそう答えればいいじゃないかよ
ちと「恐らく」が 多いのが気になるが…

素人相手にマウント取ろうとして、揚げ足とられて、切れるなよ
煽り耐性低すぎだ

ま、俺もバックエンドが素人なのは認めるけどな
0880774ワット発電中さん
垢版 |
2019/05/28(火) 22:33:28.86ID:wS7GwPwS
>>879

まぁ、実際半導体の場合は細分化されちゃってるからな。
あと「恐らく」と言ってぼかさないとアウトになるだろw 本職の人だとw

察しろよ。

はい。ごめんなさいは?
0881774ワット発電中さん
垢版 |
2019/05/28(火) 22:35:16.83ID:B/Zco53v
>>878
趣味でRISC-Vをフルスクラッチする相手にCPUとFPGAの講釈垂れてご免なさい
って言ったら謝ってあげるww
0882774ワット発電中さん
垢版 |
2019/05/28(火) 22:38:58.27ID:wS7GwPwS
>>881

どこまでも生意気だなぁ。RISC-Vとか、そういう流行りものを試すこと自身はいいと思うんだが、
自分自身でアーキを提唱するレベルにはないって事だな。

じゃぁ俺より下じゃん。

俺はいままで個人ベースの闇研的にコンフィギュアらブルデバイス、形にしてきてるよ。
そのうち1件は、勤務先で特許化したりもしたことあるよ。

はい。ごめんなさいは?
0883774ワット発電中さん
垢版 |
2019/05/28(火) 22:42:08.41ID:wS7GwPwS
逃げたw
嘲笑の対象だよな。
笑ってやるよ。はっはっは。( ̄m ̄〃)ぷぷっ!
0884774ワット発電中さん
垢版 |
2019/05/28(火) 22:46:23.75ID:p/fYhzHL
>>882
あぁ、自分アーキテクチャ作ったけど、コンパイラ作るのがめんどくさくてなぁ なんでGCCはあんなにめんどいんだ?
ハンドアセンブラどまりだったよ
その点、コンパイラが準備されてるISAは楽チンだよな

コンフィギュア"ら"ブルが何か分からんwww
が、なんであんたより下なんだ?
素人と思って、マウント取りに来て、失敗したのはあんただろ?
0885774ワット発電中さん
垢版 |
2019/05/28(火) 22:50:34.07ID:wS7GwPwS
マウント試合は終了です
一般的にマウント試合で優勢を意地でも取りに来るあんたみたいなのは
その実リアル社会では主導権取れない残念な人って傾向がまことしやかに言われてるよ?
あんたもそうなのかな?

残念!
0886774ワット発電中さん
垢版 |
2019/05/28(火) 22:53:02.35ID:wS7GwPwS
あとコンフィギュアラブルデバイスってググれ。あえて言おう貴様はカスであると!
0889774ワット発電中さん
垢版 |
2019/05/28(火) 23:00:13.61ID:B/Zco53v
>>886
"コンフィギュアらブル"との一致はありません。
と、言われました!
論点が通じない相手とは、議論にならんwww
0890774ワット発電中さん
垢版 |
2019/05/28(火) 23:09:58.39ID:wS7GwPwS
カナ表記のtypoで揚げ足取ろうとかw
本当にくだらない人ねあなた(>人<;)
0891774ワット発電中さん
垢版 |
2019/05/28(火) 23:12:29.96ID:wS7GwPwS
お前が意地汚いかやっぱり話わかってるつもりだけど実戦経験が浅いのかどっちかだよw 普通に
実際のsiでデザインウインの経験何品種もある俺に勝てないって 君の場合
0894774ワット発電中さん
垢版 |
2019/05/29(水) 01:13:05.74ID:t0gcL2gz
最後、見分けがつかないくらい同レベルだな。
つーか、自演?
0899774ワット発電中さん
垢版 |
2019/05/29(水) 18:45:59.20ID:4tBZP/TT
>>897
今更半導体屋がリストラされて、FPGA屋を食いものにして派遣手配師でもはじめるんだろw
せいぜい自分で出来ないやつは態度改めろ
0900774ワット発電中さん
垢版 |
2019/05/29(水) 19:02:31.43ID:mbLUVrMe
FPGAはFFの入力にセレクタがいっぱい入っていると思っていい。
接続をどれにするかを切り替える情報をROMに入れてる。
近傍に対象信号があればセレクタの段数も少ないが、
どうしても遠くなってしまう信号が一定数超えると、
通す場所が無くなって何段もセレクタを経由して接続される。
ASIC(フルカスタム)は何層もあるレイヤーを、
基本的には自由に通して信号を直結できる。
構造による速度の違いはおもにこれかな。
(プロセスが違えば当然違うがそこはまた別の次元の話ということで・・・)
0902774ワット発電中さん
垢版 |
2019/05/29(水) 19:17:47.22ID:4tBZP/TT
つうか、シノプシスでボタンポチってやるだけで、配線引けないデバイス屋がなにか言ってて笑えます
0903774ワット発電中さん
垢版 |
2019/05/29(水) 20:04:37.83ID:xLca4qFc
お前ら、つまらない喧嘩はほどほどにしとけ
わからないことがあったら本を読め
0904774ワット発電中さん
垢版 |
2019/05/29(水) 20:29:59.64ID:+vkGy+je
>>902
もう日本メーカの配線屋なんて斜陽だよな
なんでもっと稼げるとこにいかないの?
0906774ワット発電中さん
垢版 |
2019/05/29(水) 21:02:48.77ID:+vkGy+je
>>905
「配線できるオレスゲー」
なんだから、生暖かい目で見守ってあげて(笑
0907774ワット発電中さん
垢版 |
2019/05/30(木) 03:20:48.01ID:z8lCzqNV
FPGAできる俺スゲー
0909774ワット発電中さん
垢版 |
2019/05/30(木) 12:15:49.07ID:zPGAFruL
FPGAできること自体はすごいと思わなくなったなぁ(※程度による)
0914774ワット発電中さん
垢版 |
2019/05/30(木) 21:07:30.43ID:z9W5H4sC
コンフィギュラブル・デバイスの特許は取ったけど、客はつかなかったし。
一度ハードマクロ屋を経て、いまは設計の第一線からは退いて、生産技術の現場にいますよ。
品質管理も一部見ているので、なんだかんだ言ってDR審査員やってます。

さぁ。今年の昇給はあるのでしょうか?それとも会社が詰むのが先でしょうか?それとも・・・?
0915774ワット発電中さん
垢版 |
2019/05/30(木) 21:12:54.27ID:z9W5H4sC
FPGAできる俺スゲー

懐かしい・・・ 俺もXilinxの昔のFPGAでverilog書いてた時期もあったな。入社したてのころだ。昔だ。
0917774ワット発電中さん
垢版 |
2019/05/30(木) 23:35:53.41ID:xRTxQCu9
250MHz16bitのDACのドライブがあまり速度余裕ないみたい kintex
どのくらいの速度で回したことある?
0918774ワット発電中さん
垢版 |
2019/05/31(金) 03:59:56.29ID:sZtdqNGu
パーシャルリコンフィギュレーションのライセンスフリー来たね
0923774ワット発電中さん
垢版 |
2019/05/31(金) 19:39:13.85ID:XP/Mo+PC
Verilogのperlなみにいい加減な仕様ってのもあるんだろうけど、
社内標準言語なんで止められない。
0924774ワット発電中さん
垢版 |
2019/05/31(金) 23:09:18.27ID:XP/Mo+PC
厳密にはいい加減な仕様じゃなくて、いい加減に適当に返すこともできる仕様か。

よかれあしかれだけどな。
0925774ワット発電中さん
垢版 |
2019/06/01(土) 02:48:11.98ID:hP1KxDzD
>>916
ホント、自分でHDLかけず、偉そうに語る老害何とかしてほしい。仕事させるならカネ持って来い
0927774ワット発電中さん
垢版 |
2019/06/01(土) 03:30:11.35ID:hP1KxDzD
>>759
https://type.jp/job-2/1146508_detail/

未経験歓迎ワロタ

そのうちハローワークから職に困った奴を最低賃金で雇用させて大手半導体に派遣して、派遣者側からこの会社はブラックだと晒されるターンにならぬようお願い申し上げます。

他社派遣会社より優位性が保てないと平気で上記のような状況に陥ります。

「会社は一ヶ月で辞められる」とかシャチョウサンが言っていたけど、大手半導体会社の言いなりになるんじゃなくて、日本半導体の人材を育成できる会社に戻って頂きたいものです。
0928774ワット発電中さん
垢版 |
2019/06/01(土) 09:42:56.84ID:MOswouR9
HDLの黎明期からやってたのは認めるけど、そこから進歩してないよな。
当時も大した技術力もないコンサルって感じだった。
0930774ワット発電中さん
垢版 |
2019/06/04(火) 04:17:16.97ID:mtTWSqXx
なひたふさんって知っててTwitterに不動産や市議会員の悪口買いてるのかな?
あんまりTwitterに実名を書いて批判すると、動く基板も動かなくなるからなあ・・

おれは独身で落ちるとこまで落ちたから、多少のトラブルは屁でもないが、
家庭があって商売もやってるなら、書かないほうがいいよな。

しかも一番そういうのが多い、東京で商売してるんだし・・
0931774ワット発電中さん
垢版 |
2019/06/04(火) 04:21:37.54ID:GrTfXw4y
本人の好きにさせたれ
大人なんだし、仮に何かあっても自己責任だろ
0932774ワット発電中さん
垢版 |
2019/06/04(火) 04:24:53.32ID:mtTWSqXx
高度すぎる技術って損だよなあ・・
学生のころ初めてなひたふさんのサイトみて、これは間違いなく化け物だ、と思って
さっさと電子系は諦めた。

今思えば正解だった。たまにKicadで絵を買いて遊ぶにとどめてる・・
0934774ワット発電中さん
垢版 |
2019/06/04(火) 04:32:15.25ID:mtTWSqXx
いや、上には上がいるんだろうが、なひたふさんはその業界では上位数%の部類だろ。
そういうのが下らんことで潰されるのは居た堪れない。

Mooreの法則が飽和した以上、これまで演算によるオーバヘッドを、半導体プロセスによる
圧倒的な scale merit で、FPGAやGPUの本格普及を許さなかったCPUだが、

今後、それらが伸びていくとは思うから諦めないではほしいのだが。

オープンソース化か。
0935774ワット発電中さん
垢版 |
2019/06/04(火) 04:35:57.67ID:mtTWSqXx
>>933 「技術」とは確実に人に方法を手段できるものであり、高踏的なものほど
その色合いが強く、逆に言えば、ひとたび生み出してしまえば、それに人に伝えれば、
あとは資本力が支配的になるから、っていうこと。
0936774ワット発電中さん
垢版 |
2019/06/04(火) 04:39:36.89ID:Y/B7an1V
なひたふ氏もかわいそうに
>>934みたいのに身勝手な願望を抱かれることになってしまって…
0937774ワット発電中さん
垢版 |
2019/06/04(火) 04:42:16.86ID:mtTWSqXx
>>936 願望は無いけど、公務員の批判はまずいと純粋に思うぞ。

運が付くのは難しいが、運が悪くなるのは一瞬だからな。ちょっと誰かと口論
になっただけで、何十年もやられてあげく大病を患って死んでる人も多いし。
0938774ワット発電中さん
垢版 |
2019/06/04(火) 04:44:04.23ID:mtTWSqXx
これから、ご愁傷様社会で、頑張っても少しのことで破綻させられかねないから、
若い人はいかに敵をつくらず要領よくやっていこうという気風のなかで、

ああいう人を見ると安心するのだ。
0939774ワット発電中さん
垢版 |
2019/06/04(火) 04:46:44.92ID:mtTWSqXx
なひたふ氏レベルの人であれば、昔であれば金持ちボンボンがベンツにのって謳歌していようが、
そんなのお構いなしに突き進んでいたらそれなりの対価が得れたんだろうが、

そういうやつらが組織に属し、ますますのさばってるからなぁ。
ただそれを実名や具体的に挙げて批判すると、ターゲットになるんだよ。
0940774ワット発電中さん
垢版 |
2019/06/04(火) 04:48:33.13ID:mtTWSqXx
なひたふ氏の主張は、だいたいほかの小市民も同じ事を思ってるから、
貴重な人材ほど社会のことは口にしないほうがいい・・
0941774ワット発電中さん
垢版 |
2019/06/04(火) 04:53:27.69ID:Y/B7an1V
「下らんことで潰されるのは居た堪れない」
「諦めないではほしい」

願望にしか見えなかったけど、違うの?

仮に食えなくなっても、変に高望みしなければいくらでも生き方はあると思うが
0942774ワット発電中さん
垢版 |
2019/06/04(火) 04:55:35.54ID:mtTWSqXx
>>941 おまえしらんだろ。酷いヤツは自動車に故意に跳ねられて全身不随に
なっとるやつもおるんだぞ・・
0943774ワット発電中さん
垢版 |
2019/06/04(火) 04:58:56.92ID:mtTWSqXx
池袋の暴走爺も、その被害者も、さもありなん。
仰々しいことをしない穏やかな人も、政治問題に口を挟んでそうなることもある。
0944774ワット発電中さん
垢版 |
2019/06/04(火) 05:15:45.92ID:mtTWSqXx
>>941 いくらでも生き方があるのは事実だが、

いつも思うがこういうことをサラリと言ってのける人は、その変更後の職業、分野を
長く続けてきた人間に対して失礼ではないかと思う。

その変更後の職業、分野に従事する人間は、さらりと変えてやってきた人間が
容易に追随しうるほどのものであることだ、

と言っているのと等価である。
0945774ワット発電中さん
垢版 |
2019/06/04(火) 05:23:51.43ID:mtTWSqXx
>>941 最近の教師ってこんなタイプのやつが漸増しているんだろうな。まだ少数派だろうが。

自分の言質に矛盾を生じさせない(体面を保つ)ために、やたらと自由を尊重し、本人の幸福など
知るよしもなく、少しでも周りが何か言うと「本人の自己責任だ。方っておけ」アレやコレだの。

人が堕ちれば相対的に自分が有利とでも思ってるんだろうか。
0946774ワット発電中さん
垢版 |
2019/06/04(火) 05:24:36.09ID:mtTWSqXx
スレチスマソ。では。
0947774ワット発電中さん
垢版 |
2019/06/04(火) 05:26:57.44ID:mtTWSqXx
無関心恐怖社会。ものごとに関心のある人間を黙ってみて喜ぶ人たち。

おそろしい。
0948774ワット発電中さん
垢版 |
2019/06/04(火) 06:41:27.00ID:XztYo2mj
何朝っぱらから一人でぶつぶつ言ってんだ?
ビョーキか?
0952774ワット発電中さん
垢版 |
2019/06/04(火) 11:22:01.52ID:UfriQoS0
>>942-943
このあたりは脅迫罪で捕まる可能性があるな
0953774ワット発電中さん
垢版 |
2019/06/04(火) 17:02:26.81ID:ENGFSXPD
ここの奴らはなんやかんやでなひたふへの敬意が感じられてほっこりするな
0955774ワット発電中さん
垢版 |
2019/06/04(火) 22:17:16.40ID:VQseM9s6
西海岸のASIC屋なんだけど、日本の半導体屋の求人事情ってどんな感じ?
0956774ワット発電中さん
垢版 |
2019/06/04(火) 23:15:59.09ID:PvOpsMFz
すごいちゃすごいけど
どこかでやっていることなんだよな
まあシステム屋ってのはそういうもんだが
特許で食えれば大したもの
0957774ワット発電中さん
垢版 |
2019/06/04(火) 23:23:45.26ID:KYKWq4cC
前に勤めてた会社から特許補償もらってるけど
100万いかないくらいだからなぁ。
税金も持ってかれるし。
0958774ワット発電中さん
垢版 |
2019/06/05(水) 21:53:51.10ID:Yh9Ff82z
>>955

日本の半導体屋の求人はあるけど地雷企業多いよ。

あと、自分の勤務先だとピンの実力者を引っ張って来ようとしたら、条件(給与)が希望額に満たないと言って断られた様子。
「実力者は違うよな(w)」とか、上の人は言ってるけど、現場の俺からすると、実力者が埋もれているのが問題なだけなので
もっと人材を腐らせないように活用させろよとオモタ。
0960774ワット発電中さん
垢版 |
2019/06/05(水) 23:03:07.39ID:lhbv1zwI
転職一年目のペーペーに上司の俺より高い給料出せるわけ無いだろ
0962774ワット発電中さん
垢版 |
2019/06/06(木) 07:00:57.49ID:aQNMETTw
>>958
956だけど、子育ても一段落したし、ぼちぼちに日本に帰ろうかと模索してる。
人材紹介会社とも話したけど、そっちにいた方が絶対良いですよとは言われた。
でも日本帰りたんだよね、食べ物美味しいし。
でもまだ仕事したいし、仕事する以上は相応の給与は欲しいし悩ましいわ。
0964774ワット発電中さん
垢版 |
2019/06/06(木) 08:35:44.35ID:cAkEZtWf
他人の味覚を否定することなんてできるのかな?
自分が美味しくないと思っていても、他人が美味しいと言えば
その人にとっては美味しいが事実だよ。

>仕事する以上は相応の給与は欲しいし
なかなか窓口を開いている感じはしないから
実績があってもちょっとした幸運がないとしんどそう。
人材紹介会社のネットワークの広さがカギみたいな気がする。
0965774ワット発電中さん
垢版 |
2019/06/06(木) 09:35:29.38ID:A7Kun7xO
そもそも国内のASIC系は懐が冷え込んでいるので
高給を望むならそっちにいた方がいい
0966774ワット発電中さん
垢版 |
2019/06/06(木) 09:48:52.96ID:GBYUMJJ4
>>963
少なくともアメリカよりまし。
ハンバーガーにケチャップたっぷりも捨てがたいが。
0967774ワット発電中さん
垢版 |
2019/06/06(木) 10:12:11.87ID:aLSCOR0K
>>962
派遣でソニーとかルネサスでこき使われて年収300-500万円したいならいつでもどうぞw
0968774ワット発電中さん
垢版 |
2019/06/06(木) 11:15:38.95ID:A7Kun7xO
自分の勤務先だと正社員でも年収600万円税込が一般民の到達水準。残業込み。
昔と違って残業で稼ぐ感じではないのでこんな水準。
それ以上は生まれ持っての属性で決められた何かで出世コースに乗れれば開かれるが、中途採用でそこに乗れないロジックは理解できてるよね?
あと国内ASIC系はリストラの嵐はまだ続いているし安定してない。
ここまで良くない要素の塊の国内に戻るより
西海岸で優雅に高給に埋もれていた方が幸せだと思うよ。
0970774ワット発電中さん
垢版 |
2019/06/06(木) 12:09:45.35ID:3jqSxtUi
たった数行のレスで誤字脱字を頻発する香具師に仕事は無いわ
0971774ワット発電中さん
垢版 |
2019/06/06(木) 12:26:53.04ID:xtjpNSqu
>>963
幻想ってか、習慣と文化の違いだからな

HDLだって、会社によって記述ルール違うし!
0972774ワット発電中さん
垢版 |
2019/06/06(木) 17:03:27.30ID:A7Kun7xO
なんかルネのキティと間違われたけど
国内のASICベンダー勤務な

数社あるよな その中の某社だよ
0973774ワット発電中さん
垢版 |
2019/06/06(木) 17:28:47.94ID:CAZQE7Sp
>>958
それ、半導体に限らず日本企業あるあるじゃね?
世界で活躍している敏腕エンジニアを雇ってガンガン稼げる会社がどれだけあるんだという
0975774ワット発電中さん
垢版 |
2019/06/06(木) 19:08:40.48ID:/0g//5Gg
FPGAの"GA"ってなんだ?ゲートアレイだろ。広義ではASICの一部なんだよ。FPGAって。だから関係あるの。
0976774ワット発電中さん
垢版 |
2019/06/06(木) 19:24:17.23ID:/0g//5Gg
>>973

敏腕エンジニアでなくても、かつては鉄板と言われた実力者も年齢を重ねると使い捨てになるからな。うちの会社。
なんか、すごいコスイ企業な悪寒がする。

これも日本企業あるあるなんだよな?
0977774ワット発電中さん
垢版 |
2019/06/06(木) 21:35:49.17ID:wMwuC9GQ
>>966
たまに日本に来るぐらいにとどめておいたら?
高知あたりが美味いと思うよ
0980774ワット発電中さん
垢版 |
2019/06/06(木) 21:56:11.15ID:/0g//5Gg
あえて言うならFPGAのが設計の1TATが速いのは当然
ASIC屋は仕込みやら量産準備やら他にもやることあっての数倍の1TATなの。

ASIC屋は大抵の人はFPGA使いこなすよ
ASICたちあげるためのプロトタイプをFPGAで組んだり普通にあるし
0982962
垢版 |
2019/06/06(木) 23:03:10.85ID:uFPZEoTq
>>967
外資の日本法人で求人があったので、今度電話面談することになりました。
日本企業はちょっと遠慮させてもらうわ。
0984774ワット発電中さん
垢版 |
2019/06/06(木) 23:55:02.90ID:0PBPDi13
一応言っとくけど、日本は狭くて窮屈だからね?
飯が美味いところもあるけど、普段の昼食は露店のコンビニ弁当まがいなどが多いからね?
もし出張などで日本で仕事したことあってその辺良く分かってるなら余計なお世話を言ってスマンカッタだけど、そうでないならあまり期待しないでよ?
0986774ワット発電中さん
垢版 |
2019/06/07(金) 00:56:16.47ID:Fo2UBTPk
対象者はきちがいだけじゃないぜ。折伏を断ったり、新聞投稿、海外留学経験者など多岐に渡る。
0987774ワット発電中さん
垢版 |
2019/06/07(金) 01:00:02.22ID:Fo2UBTPk
>>962 向こうでもそういうのあるんか?漏れはここ2年で急激に酷くなったが、
大分慣れてきた。海外帰りは間違いなくターゲットだから・・
0989774ワット発電中さん
垢版 |
2019/06/07(金) 01:08:54.97ID:Fo2UBTPk
国内の統制も大概だが、これから米中対立が過熱すると、決して米国一辺倒ではない
日本は、米国在住邦人(日本人)もなかなか厳しいんだろうな。

ASICとか資本力のある会社でしか(派遣を除く)活かせない技術と云うのも、
このご時勢ではつらいよなあ。
0990774ワット発電中さん
垢版 |
2019/06/07(金) 01:57:04.30ID:dLHnAvwF
>>985
そうか

それはご愁傷様
0995774ワット発電中さん
垢版 |
2019/06/07(金) 12:43:49.05ID:Z/nwOjbC
真面目に言うとASIC企業でFPGAできますって言うアピールへの反応は

できて当たり前だよね。バックエンドやプロセスの知識がないのは致命的
覚える事は多いぞ

って感じだと思う
1000774ワット発電中さん
垢版 |
2019/06/07(金) 19:32:57.48ID:hNF1QcGo
|o|oooo|oo|||oooo||oo|oooooo||||ooo|oo||o||o|||o|oo||oo|o||||o||||||oooo|||o
||o|o|||ooo|o||ooooo|||oooo|o||ooooo|o|oo|||oo||||oo|||oo||o|oo|o||||o|o|o|o
o|o||oooo||||o|||||||||o|o|||o|ooooo|o|o|oo|oo|o|||||oo|o|o|oooooo|oo|o|o|oo
o|o||oo|||ooo|ooo|oo|o|oo|o|o|oo|o|||oooo||oo|o||o||oo|||||||o||ooooo|||o|o|
o|||o|ooo||o||||o|||o|o|||oo||oooo||||||o|oo|o|||oo|oooooooo||o|oo||o|oo|ooo
o||o||oo|o|ooooo||||o|oo|oooo|o|o||o|||oo|o||ooooooo||oo|oo||o||||||o|||o||o
|||oooooooooo|||oo|||||o|o|||o|o|o|ooo|o|o||o|oo|o|ooo||||oo|||||o|ooooo||o|
|ooo||o||o|oooo||o|o|oo||||oo|o|o||||oo||oooo|o|o|o||||ooo||o|||oo|oo|||oooo
|||o|o|o||o|ooooo|oo|ooo|ooooooo||o||||o|o|||o||o|o||oo|ooo||ooo||o||oo|||||
oo||oo|ooo|o||o||o|||o|ooo|ooo|o|oo|||oo||o|||o|oo||ooo|||oo|o|ooooo|||||o||
|||o|o|o|ooo|||o|o|o|oo|oo|||ooooo|oo|||o||ooo|||oo|o||||o|||o|ooo||o|oo|ooo
o|o|||||o|oo|o|oo||o||ooo|o|||||ooooo|o|o|ooooo||||ooo||||o|oooo|o|oooo|||||
||oo|ooo||o|oo|||o||o|o|||oooo||o||oo|oo||o||o|o||||oo|||o|oooo|oo|ooo|o||oo
|||oo|ooo|||o|ooo|||o|o|o|o||||||o||oo||o|oo|||o||o|oooo|o|ooo|ooo||ooo|o|oo
||ooooo||ooo|||o|o|||oo|oo|o|o|oooo|o|ooo|o|o|||||o|||||oo|o|o|oo||oooo|o|||
|oo|oooo|o|||o|||oo|||oo|ooo|ooo|||o|oooo|||o|o|o|||||oooo|o|o|oo||o|||ooo||
ooo|||oooo|o|||||||oo|ooooo|o|o|oo|ooo||o|ooo|o|o|||oo||oo|o|oo||||o||oo||||
||o|o||o|o|oo||||oo||||o|o|o|||o||o|oooo|o|o|oo|o|||||o|oooo|oooo|o||oooo|oo
oooo|||oooooo|oo|ooo||o|oo|o||oooo|o|o||oooo|||o|oo|o||o|||oo|o||o||||||||||
o|||ooooooooo|o||o|ooo|||||o|ooooo|||oo|||||o|o|oooo||o|ooooo||||oo||||o||||
o||oooo|o|oo|oo|||ooo|o|o|||||o|o||o|o|ooo|o|o|o|o|oo|o|ooo|oo|o|ooo||||||||
oo|||||||o|oooooooo|||||o|oo|||oo|oo|oo|oooo||oo|oo||||o|o|||o|||o|o|o|oooo|
o|ooo|o|oo||||||o||o||oo||o||o|oo||oo|oo||o|o|oo|ooo|oooo|o|ooo||o|||o||o|o|
||ooo||||oo|o|||||||oo|o|||o|ooo|o|oo|o|o||oo|||o||ooooo||oooooo||oo|oo|o||o
|oo|oo|o||||oo|oooooo|oo||ooo|o|o||||||o|ooo||o|o|o|||oooo|o|||oooo||||oo|||
ooo|oo|oo|oo|oo|oooo||||o|ooo|||o|o|||o|oooooo|ooo|o||o|oo|||o||||||o||o||||
o||oooooo|oooo||o|o||ooooooo|o|oo|ooo|||o||o|oo||||||o|||o|||oo|oo|||||o|o||
|o|ooo|||||||oo|o|oo|o|||ooo||oo||oo|oooo|o|ooo||oo||o|ooo||||o||oo|||ooo||o
|||||oo|ooooo|ooooo||ooo|||o||||||ooo||o|||o|oo|ooo|o|oooooooo|||||||oo|o|||
ooo||oooo||ooo|o||o|o|o||||||oo|o|||o||o|||o|||oooo|||oooo|o|oo||o||o|ooo|oo
||oo|oo||||o|oo|o||o|ooo||o|||o||o|o|oo|||o||ooo|oo|oooooooo|o|o||o||||ooo||
|||ooooo||oo||oo||o||ooo||||oo|o||o|||o|oo||ooo||o||ooo|||oo|||o|ooo|o|o|ooo
||oo|oo|||oo|||ooooo||ooo||o|ooooo|o|o|oo||oo||o|oo|o||||oo||o||o|||oo|oo|||
|oo|||o|oo|o||oooo||||||o|o||ooo|o|oo|oo||oo|o|||oo||oooooo||o|||o|o|||o|ooo
o||o|oooo|o||oo||o|o||oo|||o|o||oooo||||o|oooo|oo|||||oo|oooo|o||||o||o||ooo
o||||oo||oo|oooooo||o||ooo|||oo||o|||||o|||||o|ooo|o||oo|o|o|oo||oo|oooo||oo
|oooo|||ooo|oo||o|oo|||o||||o|||oo|ooooo|o||o|o||o||||ooo|oo||o||o|oo|||oooo
|oooo|o||o|o||o||||ooooo|o|oo|oo|oo||o|oo|||o|o||ooo||oo|||o||oooo||||o|oo||
ooooooo|ooo||ooo|o|||ooooo|o|||o|ooo||o|ooo|o|o||||o|o||o||o|o||o||o|||||o||
o|oooo||o|||ooo|o|o|oooo|oo|oooo|oo|o|||o||oo||ooooo||||||ooo|||oo|||o||||||
|oo|oo|||o||||o||o|ooooo|||oooo|oo||o|o|||||o|||oo|oo|||o|oooo|o|o|o||oo|ooo
oo|||||o||oo|ooo||oo||||||||o|oooo|oo|ooo|o|||o||oo||o||o|o||||oooooo|o|oooo
||o|oooooo|oo||o||o||||||oo|oo|ooo|o|o|ooooo|o|||o|o||||o||o||||oooooo||||oo
o|o|o|ooo||o||||oo||||oo|oo|ooo|o|||||o|||o||||o|oo|||ooo|ooooooooo|||o||ooo
||ooo||o|oo|ooo|ooo|oooo|oo||oo|oo|o||||o|||o|o||o||oooooo|o||o|o||||o|||||o
o||ooooooo|oo|oooo||oo|oo||||||||o|||o|||o|o|o||oooo|o|o||||||ooo||oooo|o||o
||ooo|oo||oo|ooooo||||o|||o|oo|oo|ooooo|o||o||o||o|||oo|o||o||||oo||||o|oooo
|||||ooo|||oo||||o|o||o|oo||o|o||oo|oo|ooo|oo|ooo|oo|oo||o||o||||oo|o|oooo|o
oo||o|o||oo|o||||ooooo||ooo|oo|o|||o|o|ooo|o||||ooooo||o|o|||ooo|o|o||oo||||
|||o|o|||oo|o|o|oooooo|o|o|ooo|ooo|||o||o|o||||oo|o||||o|o|oo||||o|ooooo|o|o
10011001
垢版 |
Over 1000Thread
このスレッドは1000を超えました。
新しいスレッドを立ててください。
life time: 219日 23時間 15分 3秒
10021002
垢版 |
Over 1000Thread
5ちゃんねるの運営はプレミアム会員の皆さまに支えられています。
運営にご協力お願いいたします。


───────────────────
《プレミアム会員の主な特典》
★ 5ちゃんねる専用ブラウザからの広告除去
★ 5ちゃんねるの過去ログを取得
★ 書き込み規制の緩和
───────────────────

会員登録には個人情報は一切必要ありません。
月300円から匿名でご購入いただけます。

▼ プレミアム会員登録はこちら ▼
https://premium.5ch.net/

▼ 浪人ログインはこちら ▼
https://login.5ch.net/login.php
レス数が1000を超えています。これ以上書き込みはできません。

ニューススポーツなんでも実況