トップページ電気・電子
1002コメント353KB

【Verilog】 記述言語で論理設計Project14 【VHDL】 [無断転載禁止]©2ch.net

レス数が1000を超えています。これ以上書き込みはできません。
0001774ワット発電中さん
垢版 |
2016/08/08(月) 08:14:48.93ID:Lhv7D4dX
HDLの処理系も、それを実際に動かすシミュレータ・評価基板も、
安価で入手できるようになってきました。

このスレが目に入ったお嬢さん! HDLで何か作って遊んでみませんか。
日曜工作のHDL書き、学生さん、プロの方、主婦の方、カキコお待ちしております。
関連情報は >2-10 あたり。

入れ食いキーワード
・Nios、MicroBraze
・Artix-7、Kintex-7、Virtex-7、ハードコアCPU内蔵 Zynq-7000

前スレ (直近スレのみ)
2012/11/03〜 【Verilog】 記述言語で論理設計Project12 【VHDL】
http://uni.2ch.net/test/read.cgi/denki/1351913871/
2011/12/07〜 Project11
http://uni.2ch.net/test/read.cgi/denki/1323187568/
2010/09/17〜 Project10
http://kamome.2ch.net/test/read.cgi/denki/1284652343/

※前スレ
http://rio2016.2ch.net/test/read.cgi/denki/1394091422/
0999774ワット発電中さん
垢版 |
2017/04/11(火) 18:53:31.21ID:b1xY0IgW
>>998
オレサマはASIC設計だから、FPGAで動かすにしても非同期リセットですがなにか?
1000arisa ◆QaHT6HayjI
垢版 |
2017/04/11(火) 18:58:55.53ID:b1xY0IgW
リセット入れて下さいと仕様書にもかいてあるのに、
リセットが入れない設計と実装をする技術者なんか、
取り替えればいいだけだろ。
10011001
垢版 |
Over 1000Thread
このスレッドは1000を超えました。
もう書けないので、新しいスレッドを立ててくださいです。。。
life time: 246日 10時間 44分 8秒
レス数が1000を超えています。これ以上書き込みはできません。

ニューススポーツなんでも実況