X



トップページ電気・電子
1002コメント285KB
【FPGA/CPLD】 XILINX/ALTERA/Lattice/Actel #30
■ このスレッドは過去ログ倉庫に格納されています
0001774ワット発電中さん
垢版 |
2021/11/22(月) 02:24:08.12ID:GfR5cDT1
※前スレ
https://rio2016.2ch.net/test/read.cgi/denki/1606839628/

天ぷら

FPGAマガジン (CQ)
https://shop.cqpub.co.jp/hanbai/booklist/series/FPGA%E3%83%9E%E3%82%AC%E3%82%B8%E3%83%B3/
FPGAマガジンNo.20 発売中断のお知らせ
http://fpga.cqpub.co.jp/

このなひたふさんの天ぷら何とか汁

http://www.tokudenkairo.co.jp/
http://www.nahitech.com/nahitafu/
http://nahitafu.cocolog-nifty.com/
https://twitter.com/nahitafu
https://twitter.com/5chan_nel (5ch newer account)
0003おなかすいたな ◆NVKihJxAnGde
垢版 |
2021/11/23(火) 18:01:26.46ID:aSAtarM+
GOWINは丸文のサポートが手厚でオヌヌメダ

触ってから評論家をしろや!手配師どもめ!

Renesas? カネ取るだけでFPGAから半導体への移行できないだろう!全員リストラしたのはこの目で見てたからな(その時は超Lにいたから知らんや)
0004774ワット発電中さん
垢版 |
2021/11/23(火) 18:39:51.60ID:cUr5aeTe
中国なんて存在自体が世界の害悪だけどな。
在日御用達?
0005774ワット発電中さん
垢版 |
2021/11/23(火) 19:18:02.05ID:L7Ka9+UN
ライバルの実力を知らずに国際市場で勝ち抜けるわけないのに
むしろ臭い物に蓋は日本が世界第3位に落ちた一因だろう
現状さっぱり成長していないし更に落ちそうだが
0007774ワット発電中さん
垢版 |
2021/11/23(火) 21:38:28.74ID:cUr5aeTe
>>5
落ちたのは単に若者が無能だったってだけだろ。

それはともかく、中国が世界中に害悪をばら撒いでいるのは事実だしな。
そんなところにお布施をしてやることはあるまい。
0008774ワット発電中さん
垢版 |
2021/11/23(火) 22:49:24.59ID:L7Ka9+UN
・全有権者に対し低い若者の割合
・国民が主権を有し多数決の国家システム
・お上に従属させる教育制度
若者のせいにするのは的外れ

あと中国のやっていることはアメリカをベースとしているから
中国批判はそのままアメリカにも適用可能
0010774ワット発電中さん
垢版 |
2021/11/23(火) 23:58:21.79ID:L7Ka9+UN
ベンチャー関係の支援や資金調達も米中日のなかでは日本が最弱
アメリカが気合い入っているのは有名だけど、それを参考にしている中国も
結構がんばっているし日本よりは活気ある
0011774ワット発電中さん
垢版 |
2021/11/24(水) 00:55:07.48ID:799a8MV1
やめてくれ
オレは、お前みたいな書き方をするやつを見るたび「奴は四天王の中でも最弱」の思い出し笑いをする呪いをかけられているんだ
0013774ワット発電中さん
垢版 |
2021/11/24(水) 01:09:07.14ID:799a8MV1
まあ日本の得意分野は半導体とかじゃないから
日本が国際社会で存在感をアピールするには「萌え」(マンガ、アニメ、ゲーム等)をおいて他にはない
0016774ワット発電中さん
垢版 |
2021/11/24(水) 17:08:29.00ID:bcF8YgHV
どうせならFPGAのマクロの位置を指定してデザイン作って、特定の座標が熱くなるようにして、赤外線で見るとキャラ画が浮かび上がるようにしよう。
0017774ワット発電中さん
垢版 |
2021/11/26(金) 12:54:39.89ID:dRn7qzvc
>>3
納期に間に合わせるために予定してたサイクロン10が入らないで社内在庫のサイクロン3で作り直しをしているがGOWINは物が入るのか? ツールの習得も含めて価値があるなら調べたいが。
0021774ワット発電中さん
垢版 |
2021/11/30(火) 07:56:50.32ID:i+50i5H9
GOWINのデバイスはMouserにそこそこの在庫がある。
使ってたSpartanもMACH XO2もなくなってるし、何かに置き換えないとモノが作れない。
秋月でGOWINボードを買って練習しようと思ったら在庫ゼロになってた。ワリエワ
0022おなかすいたな ◆NVKihJxAnGde
垢版 |
2021/11/30(火) 08:11:29.75ID:3JGlA0Qh
>>21
GOWINのHPから欲しい氷菓ボード選んで、丸文に電話して、「私気になります」と、氷菓に出てくるえるたその物まねすれば見積くれるから、あとはカネ払えば手に入るよ。
0027774ワット発電中さん
垢版 |
2021/11/30(火) 15:27:57.53ID:uS/TrAt5
>>25
ニホンゴダイジョーブデスカ?
0031774ワット発電中さん
垢版 |
2021/12/01(水) 07:21:49.79ID:2eqDPZPP
CPLDとFPGAの境界があいまいになってきてる。
ゲート数という数字も中の構成で違う種類のデバイス間では、比較が難しい。
「CPLDの大規模の石」と言わずに、具体的にデバイスの型式を言うべき。
0032774ワット発電中さん
垢版 |
2021/12/01(水) 08:15:56.12ID:ZbRY4z1w
元々違いが明確じゃないからな。
メーカが自分たちの都合で呼んでるだけ。

・FPGAはSRAM構造(ROM外付け)
・CPLDはグローバルクロック
みたいなイメージだけど、違うのも多い。
だいたい、MAXシリーズってCPLDなのに、MAX10FPGAって何だよ!
0033774ワット発電中さん
垢版 |
2021/12/01(水) 08:30:19.86ID:dkramYVZ
> FPGAはSRAM構造(ROM外付け)

MAXシリーズは、Flash(相当)内蔵だから↑の条件には合致(CPLD=外部のFlash不要)
(同じシリーズでも、MAX10はFPGAと称してる)

今となっては、この単語に厳格な違いは無い
石と小石 程度の差異だけだな
0035774ワット発電中さん
垢版 |
2021/12/01(水) 09:27:33.97ID:Q+Q/d3KS
CPLDってのをどう定義するか?によるなー

現行の小規模って、旧来のCPLD構造ではなく
小規模FPGAにコンフィグROM内蔵したタイプが多いだろ?
gowinだってそうだし
latticeのmachXO系とか上位XP/ECP系の機能制限小規模版にしか過ぎないし
フラッシュ内蔵なら良いってなら
latticeの上位もアリなの?ってなる

いやまぁXC95系だってまだ売ってるんだから
旧来CPLD構造系に絞ってもアリかもだけどw
0038774ワット発電中さん
垢版 |
2021/12/02(木) 07:53:28.27ID:I2pTaP+w
XC95は、電源の立ち上げスロープによってコンフィグレーションに
しくじる問題のあるシリコンバージョンがあったような。
0039774ワット発電中さん
垢版 |
2021/12/11(土) 22:42:49.62ID:LIr3MD/W
人として関わりたくない現場が出来たとき、どうする?
0041774ワット発電中さん
垢版 |
2021/12/12(日) 10:43:11.43ID:rqqM20q6
ミサイルの制御とかやってみたいよね。
時限爆弾とかなら簡単だけど。
0043774ワット発電中さん
垢版 |
2021/12/12(日) 14:05:59.61ID:0YKL8QTu
マイコンでペットボトルロケットの誘導制御をやっている例なら見た事あるな
0046774ワット発電中さん
垢版 |
2021/12/13(月) 17:10:44.36ID:qjJV616X
FPU浮動小数点演算ユニットのオープンでおすすめありますか?
0048774ワット発電中さん
垢版 |
2021/12/13(月) 21:56:43.09ID:Tz7UVmrB
>>45
自分らでやらないくせに
FPGA業界にいられなくしてやるぞ
ぐらいの事は言われたから
どうぞご自由に
ぐらいは言ってやった

なにあれ。
0051おなかすいたな ◆NVKihJxAnGde
垢版 |
2021/12/14(火) 03:07:18.05ID:KYlKI/DE
タイムリーな話題だな

アマゾンで2980円の録音デバイスいいよ
1日中MP3で録音できるし
言った言わないでバトルしてくるアホに三下り半突きつけるのに役に立つ
0052おなかすいたな ◆NVKihJxAnGde
垢版 |
2021/12/14(火) 03:09:34.27ID:KYlKI/DE
半導体業界もFPGA業界もドンがいるけど。

仕事の出来ない奴ほど、他人のアラを探して引き落とそうとするね。

で結果を出すとサイコパスのようにかっさらう。

まぁ発注元にうまく使われているだけということに気づけ。
0053おなかすいたな ◆NVKihJxAnGde
垢版 |
2021/12/14(火) 03:32:58.20ID:KYlKI/DE
オレ様が副業しているとかガスライティングしている奴はどこのどいつなんだろうな。なんか聞かれたがそんなにオレ様にマウントとるのが大変か?
マウントとっている間にHDLでも書いてこい。
気に入らないなら、自分でやれやボケ
0054おなかすいたな ◆NVKihJxAnGde
垢版 |
2021/12/14(火) 03:38:42.77ID:KYlKI/DE
modelsimの波形のMIKATAすらわからない奴が、シミュレーション動かしたとか言っている奴が昔いたけど、奴は何がしたかったんだろうな。営業?
0055774ワット発電中さん
垢版 |
2021/12/14(火) 10:38:05.37ID:IIfuuchu
プロは波形なんてみないですよ。
波形見てるのはデバッガの人でしょ。
0057おなかすいたな ◆NVKihJxAnGde
垢版 |
2021/12/15(水) 06:02:11.27ID:VYLW4X61
こちらは、オープンコテハン

匿名VPNで書きこみです

このコテハンは、

おなかすいたな#ルネサスガンガレ

で誰でも書き込めます。
0061774ワット発電中さん
垢版 |
2021/12/16(木) 02:12:34.35ID:rBhcaoee
FPGAじゃなくてASICの業界に行けう゛ぁ
FPGAの老害が嫌がらせで転職先に来ない

ASICの老害はいるけど
0062774ワット発電中さん
垢版 |
2021/12/16(木) 07:47:31.30ID:dXwMfVCv
転職出来ないのは自分の能力不足
人のせいにするな
ってか、被害妄想だろ、それ。
0063774ワット発電中さん
垢版 |
2021/12/17(金) 14:24:24.42ID:Om6cjh8m
はらへった
0064774ワット発電中さん
垢版 |
2021/12/17(金) 15:31:10.69ID:Om6cjh8m
飯ぐらい食わせろよ
0070おなかすいたな ◆NVKihJxAnGde
垢版 |
2021/12/18(土) 10:13:44.22ID:ZbCqM9Mb
心からいいと 思ってるものを
哂われたときの ショックを覚えてる
わかられない わからせたい
だけど見つからない
同じ言葉で話せる日が来るの?

大人になりたいとか
子供のまんまがいいとか
そんなことじゃなくて
わたしは
わたしでいたい

にごった水槽から
JUMP! JUMP!
飛び出したい
さあこっちおいで(こっちおいで)
あなたの目が好きよ
にごった水槽から
JUMP! JUMP!
悪い声は
もう気にしないで(気にしないで)
あなたが最後のROCKと信じてるから!!
0071おなかすいたな ◆NVKihJxAnGde
垢版 |
2021/12/18(土) 10:14:31.61ID:ZbCqM9Mb
きっと誰からも 教われないこと
感じて痛む やさしさを大事にね
真実をいつも 多数決が見えなくする
あなたの想いがただただ嬉しくて

あれが足りないとか
隣のあいつはいいなとか
そんなことじゃなくって
誰より高く跳びたいよ

今いるここから
JUMP! JUMP!
「愛してるわ」
さあ思い出して(思い出して)
ほんとうに好きなこと
今いるここから
JUMP! JUMP!
世界中に
そう届けたいの(届けたいの)
見えないものほど強いと信じてるから

黒い髪した ワルだっているのよ
胸で殺した 怒りがもったいない

にごった水槽から
JUMP! JUMP!
飛び出したい
さあこっちおいで(こっちおいで)
あなたの目が好きよ
にごった水槽から
JUMP! JUMP!
悪い声は
もう気にしないで(気にしないで)
あなたが最後のROCKと信じてるから!!
0072おなかすいたな ◆NVKihJxAnGde
垢版 |
2021/12/18(土) 21:22:38.57ID:29ylea4S
5chに書くなと言われたら、紙に書いて裁判所に提出をすればよいのかがよくわからないな。意思の疎通をしっかりできるFPGAデバイスをつくりたいが人間が低速過ぎるので高速じゃなくてもいい気がするんだが。
0073774ワット発電中さん
垢版 |
2021/12/19(日) 19:40:59.46ID:bOVzUPOJ
誰かにやらせればいいと言い続けて
技術をないがしろにした結果が今の日本のFPGA産業だよ
今も各売り上げばかりを求めて開発費の削減ばかり力を入れている
この選択は一時的にはいいかもしれないがジワジワと企業の力(技術力)を削っていくだろう
技術力があるやつは開発費が回ってこないから外部へあっさり転職していくし
技術力ないやつは転職できる程の強みがないからしがみつき続けるしかない
だからその負の連鎖を断ち切るために一定以上のFPGA研究開発に力を入れるのは必要
0074774ワット発電中さん
垢版 |
2021/12/19(日) 21:17:58.08ID:s9Gmnv2X
日本のFPGA産業って
・FPGAを使うこと
・FPGAそのものを開発製造すること
のどっち?
0075774ワット発電中さん
垢版 |
2021/12/20(月) 01:35:53.95ID:irrAfv11
当然FPGAを使うことだろ?
FPGAそのものを開発製造は、ごく一部の研究者しかやってない認識
0081774ワット発電中さん
垢版 |
2021/12/20(月) 11:47:09.82ID:ydBKF/b1
FPGAの
 FPGAによる
  FPGAの為のサンギョー  ・・・ご清聴サンキュー(^p^)
0083774ワット発電中さん
垢版 |
2021/12/20(月) 13:08:55.72ID:FsRgbOJr
>>80
LINEでFPGA開発が簡単に!
0085774ワット発電中さん
垢版 |
2021/12/20(月) 13:42:16.06ID:ydBKF/b1
ハードウェア定義言語
「回路機能定義済みスタンプ」貼り付け位置の相互位置・回数などにより機能を記述。
不可能じゃないかもだけど修正ができない曲芸・・・人間技とは思えん(^p^;)
0088774ワット発電中さん
垢版 |
2021/12/22(水) 01:35:20.86ID:RnrzVisf
本来おっぱいは男を喜ばせるためのものではないはず
なのになぜ男はおっぱいを求めるのだ
0091774ワット発電中さん
垢版 |
2021/12/22(水) 13:03:58.55ID:XDDVLrML
授乳用の器官なら授乳期のみ大きくなれば良い。他の動物のように。
0095774ワット発電中さん
垢版 |
2021/12/23(木) 11:18:23.73ID:7RkNSlBq
この お フェランチ効果 豚が!!(^p^)回線容量使って勝手に交流信号昇圧すんなクソが!!
0096774ワット発電中さん
垢版 |
2021/12/25(土) 18:30:50.95ID:1tNNBgHs
人を見た時、男か女かを判断するためにまず髪とおっぱいを凝視する
皆もそうだろ?
0100774ワット発電中さん
垢版 |
2021/12/28(火) 18:27:14.24ID:tBq1PAkc
質問です
VivadoとZybo Z7-10を使っています
プロジェクトを作る時にボードが無かったのでXHub StoresでZybo Z7-10を追加してプロジェクトを作ったのですが、2個目のプロジェクトを作る際にDefault PartにZ7-10が出てこなくなりました
Default Partの右上のInstall/Update Boardsに入り、一度アンインストールして再インストールすれば出てきますが、これをいちいちやらない方法はありますでしょうか?
■ このスレッドは過去ログ倉庫に格納されています

ニューススポーツなんでも実況