X



トップページ電気・電子
1002コメント378KB

KiCAD 4層板

■ このスレッドは過去ログ倉庫に格納されています
0001774ワット発電中さん
垢版 |
2020/07/18(土) 03:36:50.88ID:ewX0Ltgh
.
            ┏━ KiCAD ━
 γ⌒ヽ,  〆⌒ ヽ.┃ Eeschema   無料で使える回路図・パターン図作成CAD
 (`・ω・)n (`・ω・´)n.  CvPcb      ”KiCAD”について
 く   E)/    ,E)   Pcbnew    情報交換しましょう。
  )  | 〈(    |.┣━━━━━━
  レ~、」   し⌒`J

本家 http://www.kicad-pcb.org/
日本語 http://kicad.jp/

マニュアルはこちらから  https://docs.kicad-pcb.org/
  (言語を日本語にして、ダウンロードします)

その3 https://rio2016.5ch.net/test/read.cgi/denki/1565150193/
その2 https://rio2016.5ch.net/test/read.cgi/denki/1525510225/
その1 https://rio2016.5ch.net/test/read.cgi/denki/1436182258/
0704774ワット発電中さん
垢版 |
2021/01/06(水) 23:35:45.94ID:raMLpgkL
て、いつ出るのだろう、Ver6
0705774ワット発電中さん
垢版 |
2021/01/06(水) 23:47:00.03ID:MCLAwpM7
>>698
>>700

DXFインポート前に別CADで原点移動後ですか(;´Д`)

なかなか大変ですねこれ。

5.99?6?が入手可能なんですか?
ちょっと探してみます
0706774ワット発電中さん
垢版 |
2021/01/07(木) 01:25:22.86ID:LP7TqUiF
回路図ですでに置いてある抵抗を90度回転するとR1とかの文字まで90度回転してしまいますが、
文字のは回転禁止にできないでしょうか?
0707774ワット発電中さん
垢版 |
2021/01/07(木) 03:54:31.30ID:y2leATEd
>>706
できないと思います。
いつも回転後に、R1だけで再度回転、10kだけでも再度回転して整えています。
R1と10kをまとめて回転できれば楽なのですが。
0708774ワット発電中さん
垢版 |
2021/01/07(木) 22:36:08.57ID:GYqIu5GX
大した差ではないがPcbnewのお絵描き性能は5.1.xより5.0.xの方がマシ
5.1.xはネットリストからパターンを生成する以外のことは出来ないと思った方が良い
0709774ワット発電中さん
垢版 |
2021/01/07(木) 22:56:45.35ID:LP7TqUiF
>>708
妙に納得できる説明。
0712774ワット発電中さん
垢版 |
2021/01/11(月) 02:24:46.14ID:unuhY2iX
>>711
寄付の方法、教えて
0713774ワット発電中さん
垢版 |
2021/01/11(月) 13:06:09.63ID:qu13Pw3Y
俺も知りたい。
UIが改善されるなら、寄付する。
0714774ワット発電中さん
垢版 |
2021/01/11(月) 15:27:06.85ID:0V9A/uYQ
自分の希望が通るほどの金額を寄付するのか。それはすごいな。
0716774ワット発電中さん
垢版 |
2021/01/12(火) 12:10:59.34ID:VKJUkr2+
>>715
ありがとう。
実施できました。
0721774ワット発電中さん
垢版 |
2021/01/16(土) 03:16:27.70ID:iiEo8+wp
OrCAD使ってる人いますか?
昨年秋にVer-UPしてから、とても重くないですか? 起動に1分とか。
KiCADが超軽量に感じる
0722774ワット発電中さん
垢版 |
2021/01/16(土) 10:37:35.46ID:sDU/lRZd
部品の3Dデータの作り方を検索すると
VRML(wrl)とstepの2つのファイルが必要とあるんですが
stepのみでも問題ないみたいです。
本当のところはどうなんでしょうか?
0723774ワット発電中さん
垢版 |
2021/01/16(土) 10:54:15.87ID:IVZbGfg1
5.99でPcbnewからVRML出力してみた、すべてのパーツの3DモデルはSTEP
https://i.imgur.com/60w022a.png
もうすぐ6が出るわけだし部品のVRMLファイルは要らなくなるのかも
U3用モデルも作るか・・・
0724774ワット発電中さん
垢版 |
2021/01/16(土) 16:33:19.13ID:/FQeafy5
>>723
画像ありがとう。いい感じだね。

この画像って、商用のCADの3D画像と比べて、どうなんでしょう?
 ・商用CADはもっとすごい
 ・こんなもん
 ・全然おもちゃ
0725774ワット発電中さん
垢版 |
2021/01/16(土) 16:49:27.24ID:lYAzlL0f
基板CADの3dの画質なんてどれもかわらんよ。それよりライブラリとのメンテナンス性の方が大事。
0727774ワット発電中さん
垢版 |
2021/01/16(土) 18:53:02.06ID:u59lBFbw
>>726
Nightlyビルドは 5.99.x
テスター向け。これでわかる?
0729774ワット発電中さん
垢版 |
2021/01/16(土) 19:03:54.11ID:u59lBFbw
https://forum.kicad.info/t/is-it-a-good-idea-to-use-a-nightly-build-version/9309
より一部引用
Note: Nightlies from after 14. March 2019 are no longer part of the of the v5 release cycle. (They are part of the v6 development.)

まあV6早期版って位置づけだね。
ただし生成変更ファイルの前後互換性は放棄のスタンス
お試しよ
0730774ワット発電中さん
垢版 |
2021/01/16(土) 20:00:40.08ID:iiEo8+wp
一般的には、V5で書いた回路図や、シンボルは、
そのまま使えるものなんでしょうか?
楽しみだわ。
0731722
垢版 |
2021/01/16(土) 21:53:07.09ID:sDU/lRZd
5.18でも、stepのみで問題ないみたいです。
いつからなんだろう?
0732774ワット発電中さん
垢版 |
2021/01/17(日) 21:27:01.98ID:AFLbMEX2
回路図で、コネクタのピン数だけ部分的に変更したようなのを作るときも、回路ず全体をわけた方がいいんですか?
例えば
中の回路は全部一緒で
外部への出力が3ピンX2個のコネクタの基板と
外部への出力が2ピンX3個のコネクタの基板と
でその部分だけを入れ替えるような回路図を作るって事は出来ないでしょうか
0734774ワット発電中さん
垢版 |
2021/01/17(日) 21:54:37.09ID:CFf9CZ7I
共通部分を階層シートにして、2つのプロジェクトそれぞれから参照すればいいんじゃね
0735774ワット発電中さん
垢版 |
2021/01/18(月) 00:49:50.51ID:K9xozhBN
>>733
フットプリントを二種類作るってピン数違っててもなんとかなるものなの?

>>734
階層シートというのがあるのですね
試してみます
0736774ワット発電中さん
垢版 |
2021/01/18(月) 14:50:52.55ID:BY3G2u58
>>732
3pin x 3個 で、回路図を1つだけ書いて、
コネクタの実装で切り替えるのではダメでしょうか。
0738774ワット発電中さん
垢版 |
2021/01/19(火) 11:51:54.24ID:f7zRRFan
以前も話が出ていたと思うけど、
回路図で、抵抗とかコンデンサとかの、部品を90度回転させると
C555 10uF の文字も90度回転してしまいます。
なので、C555を回転して、さらに10uFを回転して と、2回回転しています。
・文字は回転しないような設定は無いでしょうか。
・あるいは、2つをまとめて選択して、1回で回転できないでしょうか。
0739774ワット発電中さん
垢版 |
2021/01/19(火) 13:05:09.81ID:tQVnoZZq
>>738
マウスでカーソルをシンボルの上に置く
マウスでカーソルをラベルの上に置く

それぞれでrをn回押す。ではなくって

マウスでカーソルをシンボルの上に置く
でrをn回してoする

てかぁーいちいち回転させるか?ってのはあるが、そういうのがいくつかある時はたとえば

部品を一つ置いて、回転させて
以降それをcしてvで値変えてけば?
0740774ワット発電中さん
垢版 |
2021/01/19(火) 13:57:05.08ID:f7zRRFan
>>739
ありがとうございます。
すみません、私の説明が悪かったようです。
・シンボルの上にマウスカーソルを置く、R → シンボル記号も文字も全部回転する。
・C555の文字の上にマウスカーソルを置く、R → 文字だけ回転する。
・10uFの文字の上にマウスカーソルを置く、R → 文字だけ回転する。
です。回転は一気で、文字だけ戻す、ということです。

回路図を書く上で、シンボルの移動回転、文字の移動回転が多いんです。
「抵抗はこの位置で、コンデンサはこの位置だな」
「おっと、ここは入れ替えた方が、回路的に合ってるな」
「隣の抵抗は、抵抗の上に文字を置いてるから、コイツも抵抗の上に置いて」
「分圧の抵抗は縦に2本置いて。待てよ、横と縦の方がいいな、回転だ」
「おっと、ブリッジダイオードは45度の四角形だから、シンボルを新規に作るか」
「おや? こんなに並んだ抵抗だと、どれがどれの値かわかんないから、千鳥配置にずらして書こう」
など、移動回転がちても多く、作業の1/3は それです。
0741774ワット発電中さん
垢版 |
2021/01/19(火) 17:51:53.47ID:EJ5VDzEJ
その3割の作業お絵かきで回路設計じゃないね。
基本全部配置してからr回転でoで自動文字移動でちょっと手修正rで
最終的にできるものに大差無いんじゃね?

o使ってる?
微配置は最後の工程でしてる?
0742774ワット発電中さん
垢版 |
2021/01/19(火) 22:45:55.33ID:f7zRRFan
o って、オーですよね? フィールドを自動配置。
使ってないです。
今やってみたら、
・抵抗は、R123 10k が抵抗の右側に飛んできました。
・抵抗は、R123 10k が抵抗の左側に飛んで来て、右寄せの配置になつてしまいました。
・コンデンサは、C123 0.1 がコンデンサのリードの線上、つまり配線の上に飛んできました。
せっかく位置を調整したのに、崩れてしまった感じです。
便利な機能なんですか?

もっぱら、
・マウスカーソルを上に持っていき、M, R
・右クリック グリッドを細かくして、
  マウスカーソルを上に持っていき、M カーソルキーで ←↑↓→
・あるいは、文字の合う出ダブルクリック、配置を左寄せに変更。
  (シンボル作成時に左寄せにしても、実際貼り付けると中央になってしまう)
この繰り返しです。

前の部品の文字位置を決めないと、隣の抵抗、コンデンサの位置が決まりませんので、
シンボルの位置調整をしながら配線しています。
0743774ワット発電中さん
垢版 |
2021/01/19(火) 22:50:35.44ID:f7zRRFan
あと、よく使うのは、
G, X, Y, T, I, J
Shift+A
Shift+W
Shift+Q
でしょうか。
ショートカット使いすぎで、
アイコンやメプルダウンニューでやる方法はサッパリ分かりません。
0744774ワット発電中さん
垢版 |
2021/01/20(水) 03:56:42.26ID:fv2v2buL
回路図に凝ってるみたいだけど、
回路図なんて所詮ネット生成ツールでしょう。
0745774ワット発電中さん
垢版 |
2021/01/20(水) 05:06:28.94ID:Vbvdx53Z
開発者「基板設計CADにおける回路図エディタは、ネット情報の生成が主目的なので、作図と編集機能の充実は二の次です。」

これがソフトウェア開発の現実なので、よほど儲かっている有償ソフトウェアでもなければ、リッチな作図編集機能は期待できないよなw
0746774ワット発電中さん
垢版 |
2021/01/20(水) 06:35:49.60ID:7lw9YSTe
>>742
あなたのお絵描き他の人に見てもらった事ある?

部品の上にラベルがあったり下にあったり値が右にあったり左にあったり???
それぞれの部品に一番近い文字を見つけないと読めない絵になってそうだな。

詰め過ぎじゃね?
0747774ワット発電中さん
垢版 |
2021/01/20(水) 12:13:49.16ID:Bwq20nj/
>>746
ほとんどは人に見せる回路図です。

回路規模で異なりますが、1枚がベストで書いています。
「読む回路図」が書きたいと、いつも考えています。
0748774ワット発電中さん
垢版 |
2021/01/20(水) 13:00:37.67ID:zw+4f7EY
今や同じメーカーから出ている回路図でも製品群によって作法が違ったりするしねぇ
0749774ワット発電中さん
垢版 |
2021/01/20(水) 13:29:33.47ID:2M76ny8L
>>747
残念ながらKiCadは見せる回路図を作るためのツールではないので他を探したほうがいいです
0750774ワット発電中さん
垢版 |
2021/01/20(水) 13:32:37.24ID:/rp44MKN
気に入った回路図エディタで回路図を作成。
ネットリストと部品表をKiCADに送って基板設計
バックアノテーションで回路図修正
これでいいんじゃないの?
0751774ワット発電中さん
垢版 |
2021/01/20(水) 15:20:40.31ID:uTbktfJb
回路図なんか人に見せびらかしてどうすんだ? 変わった人だ。
0753774ワット発電中さん
垢版 |
2021/01/20(水) 15:46:16.95ID:shDoSV7g
回路図って複数人で回路という情報を共有するための物ではないのか
>>751が何言っているんだか理解できない
0754774ワット発電中さん
垢版 |
2021/01/20(水) 15:49:05.77ID:Bwq20nj/
>>749
>残念ながらKiCadは見せる回路図を作るためのツールではないので他を探したほうがいいです
いえ、そんなことないです。

有料CADも使っていますが、融通が利かないです。
・シンボル作成時にピン番文字のjustifyが指定できないため、
  シンボルを回転すると、四角形の辺によって、ピン番号の位置がIC枠線に被ったり、離れたり、めちゃくちゃ。
・シンボル作成時にピン名(VDDとかENとか)の位置を、ICの枠から良い位置に調整しても、
  実際貼り付けるとズレたり、回転するとズレたり、「しまった!」とCTRL+Zをしても、手遅れでもう戻らなかったり
・ある回路ブロックを一時的に待避させたいのに、A3,A4など作図領域以外にアクセスできなかったり、回転さえ許さない
・回路図上では細かいグリッド間隔が設定できるのに、シンボル作成時のグリッドは○mmまでしかできないとか
・回路図上ではCTRL+Z(Undo)が20回とかできるのに、シンボル作成時は、1回しかできなかったり、
・シンボルのピンの長さが、Zeroの上はSHORTなのですが、Shortなのにとても長すぎるし、
  ピンの長さの mm数値調整、ピン番号の位置の mm数値調整もできません。
・線と接続点と文字など複数重なっているところで、1つを選択するのに、
  TABキーを押しながらクリックするのですが、重なりの順序がわからないので、思うように選択されなかったり
・ヘテロの部品を使うと、世代管理の関係でネットを吐くとき、エラーが出るし
・昨年バージョンアップされたけど、ソフトが重くなり、ひ弱なPCでは使い物にならない
みんな使ってるし、漢字が使えるし、我慢して使ってきました。

一方、KiCADは、文字位置関係は大変素直で予想通りの動作ですし、
回転してもバッチリで、思い通りの位置に置けます。
0755774ワット発電中さん
垢版 |
2021/01/20(水) 16:02:29.08ID:t3wIcA/m
751は一人で自作しかしたことないだろ。ってか、納品物としての回路図のないものを、どうやって保証するんだろう。
0756774ワット発電中さん
垢版 |
2021/01/20(水) 16:47:41.36ID:Bwq20nj/
基板の大きさ、ケースの大きさ、部品の選定など、
まずは回路図がないと、何も始まらないですよね。

・回路動作を確認するために、電流の流れを追いますが、川の水が流れるようなスムーズな
・見る人に、あるしは、半年経った自分に、誤解なく正しく情報を伝える
・そして、紙面から設計思想やこだわりが、見ている人に訴えかけるような
そんな回路図が書きたいです。
0757774ワット発電中さん
垢版 |
2021/01/20(水) 17:20:16.74ID:V+LWtDbM
>>756

>・回路動作を確認するために、電流の流れを追いますが、

まさか脳内で都度難読読解作業してるのか?非効率だね。

>誤解なく正しく情報を伝える

見難い図面書人なのか、それとも目に入ってもアタマニハイラナイヒトナノカ

小範囲に固めるとか整然と整列するって見やすい理解しやすいと違うと理解してる?
0758774ワット発電中さん
垢版 |
2021/01/20(水) 18:07:22.14ID:EtHZcK6o
>小範囲に固めるとか整然と整列するって見やすい理解しやすいと違うと理解してる?

そうでないと考える人と、そうであると考える人がいて、
おもに扱う回路の種類も含めて立場によって、書き方、効率の良さは変わってくる、というだけのことでは?

>>756>>757も考え方が全く違うってだけのことなんだし、対立せずに互いの存在を認めてスルーすりゃ良いのに。
0760774ワット発電中さん
垢版 |
2021/01/20(水) 18:35:18.11ID:aDdPpCgP
BSch3Vはネットリストを出力出来るからちょっとしたコンバータを作成すればいけるんじゃないかな
あとかなり古くて使えるか判らないけどKiCAD用のネットリスト生成アドインがある
0761774ワット発電中さん
垢版 |
2021/01/20(水) 18:41:26.54ID:Bwq20nj/
>>757

 >>632 や >>637 の人ですか?
0762774ワット発電中さん
垢版 |
2021/01/20(水) 20:09:29.30ID:fv2v2buL
感じ悪い書き込みばっかだな
0763774ワット発電中さん
垢版 |
2021/01/21(木) 04:25:38.13ID:so9lMyFn
このスレ、変な人がたくさんいるからね。

ちょっと質問すると、「なぜそんなことを聞くんだ?」と

けんか腰でものを言う人がいる。
0764774ワット発電中さん
垢版 |
2021/01/21(木) 06:55:25.90ID:y7P3Ck7d
ID:Bwq20nj/ 階層回路図って使ってないの?
754みると、最初の2行と最後の2行以外あなたがこだわってるようだけどkicadの今回の質問となんもかんけーねーじゃんって一般には思われてると思わないの?
同じように742のようにRを123個も一つのところに並べてそれの一個一個の付属する文字がやれ上がいいやれ下がいいいや右だ左だといちいちやってるのですか?それって754とおんなじで回路設計という本質と関係ない作業にほとんどすべての時間を費やしてるこだわりはなんだか病的に見えて痛い人と思われてない?

以下引用は認識できる?

階層回路図
はじめに
シート数が2〜3枚で済まないようなプロジェクトでは、階層的表現を用いるのが一般的によい解決策となります。この種のプロジェクトを管理したい場合、次のことが必要になるでしょう:
大きなサイズのシートを使用する。その場合、印刷と取り扱いの問題が生じます。
シートを数枚使用する。これは階層構造に至ります。
完全な回路図は、ルートシートと呼ばれるメインの回路図シートおよび階層を構成するサブシートから構成されます。さらに、設計を個別のシートにうまく分割すると可読性が改善されます。
0765774ワット発電中さん
垢版 |
2021/01/21(木) 07:12:51.56ID:y7P3Ck7d
>>758
対立かね?

数百個のRや555番目のCを並べて後から再可読するためににらめっこしてるのはそんな
>おもに扱う回路の種類も含めて立場によって、書き方、効率の良さは変わってくる、というだけのことでは?
汎用的に語れるの?

まあID:EtHZcK6oの言わんとすることは正しいと思うよ私もね。
でも質問者は狂ってるというか標準から大きく外れていないかいっておもわないの?
これいつもの糖質わざと質問君だろきっと。
0766774ワット発電中さん
垢版 |
2021/01/21(木) 07:56:45.85ID:N29lWE7b
相手が統合失調症ではないかと思うなら、突き詰めても意味がないと考えては。

ただ、それ以前に。

回路図が「数百個のRや555番目のCを並べて」のような規模になるとは限らないと>>765もわかっているだろうし、
たとえば、せいぜい数十個の部品で収まるような、オーディオアンプやマイコン機器の回路図ばかりを扱う人が
存在することは(自分がそうでなくても)想像することはできるよね?
くだんの人がラベルでつながったような回路図が見難い、って言ってるのは、ラベルでつながったような回路図の
合理性を体験する機会がなかったからだと思うんだ。
あくまで確率的なことなんだけど、学習が小規模なものから始まるとすれば、大規模な回路を扱う人は小規模な
回路も扱ったこともあると思う。でも小規模な回路しか扱わない人は大規模な回路の作成のことはイメージすら
できない。
ノブレスオブリージュとはちょっと違うけれど、おもに小規模な回路を扱う人の気持ちに共感できなくても、
理解はしてあげて。
0767774ワット発電中さん
垢版 |
2021/01/21(木) 08:50:36.61ID:+nZ6excs
>>756
>基板の大きさ、ケースの大きさ、部品の選定など、
>まずは回路図がないと、何も始まらないですよね。

回路量見積もりして誤差見極めたら同時だろうと着手後先が入れ替わろうと完結順序が違おうと大概は始めれるしうまくおわれるけどな世の中だいたいは

>>766
最初の3行以外はいいんじゃねそれで。

ところで最初の3行のうち2行はスルー無視ってこと?いちども障害者と向き合わず腫れ物のようにほったらかして暴れるままにするの?それってそいつを人として見てないと言うか下に見過ぎじゃね?大概の変わり者は理解するとまともな社会生活できるのにな。
茶々しか入れないID:fv2v2buLやID:so9lMyFnみたいなのが一番世の中の癌だよね。
0768774ワット発電中さん
垢版 |
2021/01/21(木) 08:57:05.96ID:se2Ww5Ro
変わり者なら相手をしてやるってのは賛成だが、下に見ずに相手にできるのかい?
自分が常に正しいって前提の上で話すから偉そうで喧嘩腰になっているんだと思うが

あと統失とか狂ってるとか抜かしてるくせに相手にするのはちょっと違うのでは
0769774ワット発電中さん
垢版 |
2021/01/21(木) 09:01:56.67ID:+nZ6excs
鏡と会話。
ところで当初の質問者のすんごい訴えるような流れが見える絵を観てみたいな。美術館に飾るレベルかもな。
0770774ワット発電中さん
垢版 |
2021/01/21(木) 09:04:29.61ID:N29lWE7b
>ところで最初の3行のうち2行はスルー無視ってこと?いちども障害者と向き合わず腫れ物のようにほったらかして暴れるままにするの?
>それってそいつを人として見てないと言うか下に見過ぎじゃね?大概の変わり者は理解するとまともな社会生活できるのにな。

まわりが理解すればまともに社会生活できる、というのはそうだと思うよ。
わかっていて刺激的な言葉を投げるようなことはしない、ということと、腫物のようにほったらかすとは違う行為。
風邪気味の子供に「みんなと一緒に体育の授業に出よう」と言わないのは、人として見ないとか下に見るとは違うことだし。
0771774ワット発電中さん
垢版 |
2021/01/21(木) 09:12:19.92ID:+nZ6excs
>>770
おおむねいいと思うが
なぜ風邪の子供に風邪を引いてると他の人に近づかないほうが良いか教えてあげないのは下に見過ぎじゃね?
お前糖質だろでもなこれこれはコウコウデ駄目じゃねって言ってあげればまともな糖質は理解できるんだよ。
平均と違うのは詰めすぎじゃねって言われて何を言われたか理解できないで喚いてるときだけで理解できたら社会生活できるのよだいたいはね。
0772774ワット発電中さん
垢版 |
2021/01/21(木) 09:46:37.94ID:GYexI3WI
>>769
こういう「俺は障害者を理解してまーす」みたいな奴嫌い、何が絵だよ
スレ違いだし
0773774ワット発電中さん
垢版 |
2021/01/21(木) 10:30:19.83ID:y7P3Ck7d
ID:f7zRRFan ID:Bwq20nj/ は並べるのがすきそうだからkicadで回路図なんか書いてないでkicadで動くスクリプト開発でもしてくれたらみんなハッピーになれそうだ。
いまは実現してないけどkicad pcbnew python scriptingと同じようなことをkicad eeschema python scriptingで実現できるかもしれないので
基板実装でプログラムによる自動配置と同じようにプログラムによる回路記号の条件書き換えスクリプトでもできたらすんごい良い世界になれそうだね。

https://gitlab.com/kicad/code/kicad/-/wikis/KiCad-6.0-Roadmap
0774774ワット発電中さん
垢版 |
2021/01/21(木) 13:01:35.28ID:N29lWE7b
内容はスレに沿ってるように見せかけて、その実、相手をへこますための文章を考える人いるね。
0775774ワット発電中さん
垢版 |
2021/01/21(木) 13:49:35.26ID:lxJwPWea
>>764
>階層回路図って使ってないの?
使っていないです。使わないといけませんか?
多ch入力のXX回路みたいに、同じ回路がたくさん並ぶときは使いますが、
そうでなければ使いません。複数枚のトップページの回路図にします。KiCAD

Rを123個も一つのところに並べて
0776774ワット発電中さん
垢版 |
2021/01/21(木) 13:56:25.81ID:lxJwPWea
途中で送信してしまいました。

>>764
>階層回路図って使ってないの?
使っていないです。多ch入力のXX回路みたいに、同じ回路がたくさん並ぶときは使いますが、
そうでなければ使いません。複数枚のトップページの回路図にします。
現在のKiCADは残念ながら、トップページは1枚しかできません。

>Rを123個も一つのところに並べて
なんでR123だと123個になるの?
回路機能ブロック毎にRef番号を変えるとかしないんですか?
いつもアノテーションに任せて自動で振っているのですか?

R1の上にR3が来たり、C56の左にC57が来るので、
私はアノテーションの自動番号割り付けは使いません。
番号は、左から右へ、上から下へ、回路の機能ブロック毎に通した番号を振っています。
0777774ワット発電中さん
垢版 |
2021/01/21(木) 14:27:00.41ID:y7P3Ck7d
>>776
回路機能ブロックごとに番号を1000番台1200番台と変えるけど
機能ブロックをシートごとでなく一つの回路図に全部入れるのね?
で、アノテーションで全部クリアーしたり、順序付けの方向設定はあえて触らないのね?
で、シートごとに1000番台とか1200番台とかのアノテーションでの割付機能はつかわないのね?
全部手でやっててその作業が多分9割超えかな?
そして番号付けも最後に実施とかしないで一個づつちまちまとつけてるのかな?

回路図を機能ブロックごとにべつの階層の名前を付けてそれぞれ作って
そこに部品を適当に配置して
アノテーションで番号を自動でつけて
見栄えの微調整でrやoして
じゃなく

一つのでっかい画面にバカバカ並べながらくるくる回しながら文字がどうとかこうとか考えながら自分で作った図があとから見たらわからなくならないように何か理解できないことだけど気にしながらちまちま作業してるってこと?
0778774ワット発電中さん
垢版 |
2021/01/21(木) 15:46:47.51ID:lxJwPWea
>>777 777おめでとう。

>回路機能ブロックごとに番号を1000番台1200番台と変えるけど
>機能ブロックをシートごとでなく一つの回路図に全部入れるのね?
まだKiCADでは、A2より大きい回路図は書いたことないです。
部品番号は、回路の信号の流れで区切っています。例えば
初段と2段目アンプは100〜、差動アンプとA/Dは200〜、マイコンは300〜、そんな感じ。

>で、アノテーションで全部クリアーしたり、順序付けの方向設定はあえて触らないのね?
しません。

>で、シートごとに1000番台とか1200番台とかのアノテーションでの割付機能はつかわないのね?
そうです。全部手で振っています。

>全部手でやっててその作業が多分9割超えかな?
1割くらいですかね。大したことないです。9割も行くわけありません。

>そして番号付けも最後に実施とかしないで一個づつちまちまとつけてるのかな?
それは最後。途中までの番号は仮で、回路が決定したら、改めて番号を振り直します。

初期値のR001とかをセットして、人間がクリックする毎に、
KiCADが自動的に番号をインクリメントして振ってくれる機能が欲しいです。
手動付けとダブった番号になったら教えてくれて。

>一つのでっかい画面にバカバカ並べながらくるくる回しながら文字がどうとかこうとか考えながら自分で作った図があとから見たらわからなくならないように何か理解できないことだけど気にしながらちまちま作業してるってこと?
「、」を付けてください。1行で何言ってるのか、わかりません。
回路図は、信号が追いやすいように、なるべく少ない枚数で書きたいです。
FPGAの信号を、全部飛ばしで接続してあるのを見ると、回路図を読む気が失せます。
せめて、バスにして飛ばして欲しいわ。
0779774ワット発電中さん
垢版 |
2021/01/22(金) 03:31:04.42ID:H7klm8Ss
私は、電源コネクタ直後のコンデンサをC1にしたいとかがあるので、自分でつけています。
0780774ワット発電中さん
垢版 |
2021/01/22(金) 03:36:49.95ID:QIq4+/uZ
私は、いい加減面倒臭くなったので、一切のこだわりを捨てました。
0781774ワット発電中さん
垢版 |
2021/01/22(金) 13:13:38.09ID:BExiuUiY
回路図で、配線の太さを太くすることは出来ますか?
アースラインや大電流ラインを目立たせたいです。
0783774ワット発電中さん
垢版 |
2021/01/23(土) 01:54:48.01ID:NcK2RAMT
>>782
ありがとうございます。
それは楽しみです。
今回は、お絵かきの線でやることにします。
ありがとうございました
0784774ワット発電中さん
垢版 |
2021/01/23(土) 10:46:10.61ID:NQpOtqoT
なんだ? こいつ。 5.99で今できるよとアドバイスされているのに。
0785774ワット発電中さん
垢版 |
2021/01/23(土) 11:57:15.18ID:A82wNfcp
Nightly Build を避けるだけのことに対して「なんだ こいつ」は、ちょっとおかしいですね。
0786774ワット発電中さん
垢版 |
2021/01/23(土) 13:17:44.40ID:NcK2RAMT
>>784
5.99は正式版では無いと思います。
0787774ワット発電中さん
垢版 |
2021/01/24(日) 08:35:43.99ID:6sVTDPp5
以前作ったフットプリントを探しているのですがなかなか見つかりません。どの拡張子のファイルを探せばいいんでしょう?
ちなみに以前使ったガーバーも見当たらないです…。
KiCadはVer5.1.6です。
0788774ワット発電中さん
垢版 |
2021/01/24(日) 09:12:08.36ID:6sVTDPp5
>>787です。
紛らわしい名前を付けていて同じようなフォルダに入れてました。
すみません。
フォルダ事移動するとCADに怒られますが作成済みとかそういうフォルダに入れていこうと思います。
0791774ワット発電中さん
垢版 |
2021/01/26(火) 11:58:26.64ID:9AdAtLmn
回路図のシンボルエディターで、
左側の枠内のシンボル名を、右クリックで削除したものを
復活させることはできるでしょうか?
Windowsで言う「ゴミ箱」のようなフォルダーに行けば、
インポートできるとか、を期待しているのですが。
0792774ワット発電中さん
垢版 |
2021/01/27(水) 10:55:22.09ID:7xTGxqd8
回路図で、階層化の四角の大きさを、あとで変えることは出来ますか?
0794774ワット発電中さん
垢版 |
2021/01/27(水) 13:03:34.28ID:7xTGxqd8
>>793
そうですか、できますよね、やっぱり。

ありがとうございました。自分で考えて探してみます。
0795774ワット発電中さん
垢版 |
2021/01/27(水) 18:27:40.39ID:ZVvoikZ6
5.1.9のPCBNEW カーソル飛ぶね(レガシーツールセット)
ユーザーが出来る対策はないのかな?
0797774ワット発電中さん
垢版 |
2021/01/28(木) 21:10:45.04ID:0Y1n8tL0
>>796
わざわざ 教えていただいて、助かります。
ありがとうございました。

ただ、ある大きさより小さくは出来ないんですよね。
図面のスペースがもったいないので、図面の隅っこに小さく追いやりたかったのです。
ただ、KiCADは図面枠より外にも物が置けるので、そこに置いておくことにしました。
図面外にも物が置けるのは、とても便利で、使いやすいと思います。

わざわざありがとうございました。感謝いたします。
0798774ワット発電中さん
垢版 |
2021/02/01(月) 12:03:31.22ID:wDxgoTYW
KICADでフットプリントを作成しています。

角にRがかかった形状や、長方形の片側が半円Rになったような形状を再現するため円ポリゴンと四角ポリゴンを重ねてF.Cuレイヤーにいれ、見た目状は望んだ形になっているのですがこれはKICADのシステム上問題有りますか?
0799774ワット発電中さん
垢版 |
2021/02/01(月) 16:16:30.27ID:CMAu076H
部品表の出力ができません。 KiCAD 5.1.6です。

bom2grouped_csv
0800774ワット発電中さん
垢版 |
2021/02/01(月) 16:24:30.53ID:CMAu076H
送信していまいました。

部品表の出力ができません。 KiCAD 5.1.6です。

bom2grouped_csv にして、生成にすると、
エラー 引数11が変 というエラーが出て、部品表が生成されません。

あっ、すみません、漢字Pathを無くしたらできました。ありがとうございました。

漢字が入っていてもBOMが出るような方法はありますでしょうか?
0802774ワット発電中さん
垢版 |
2021/02/01(月) 17:41:13.37ID:CMAu076H
ありがとうございました。
そうします。
0803774ワット発電中さん
垢版 |
2021/02/02(火) 22:03:44.07ID:o6a5ktXW
両面スルーホール基板で両面にベタアースを貼ったのだが、
それらはビアで結合するほうがいいんだよな?
どれくらいの数のビアを配置すればいい?
5mm間隔くらい?
■ このスレッドは過去ログ倉庫に格納されています