【FPGA/CPLD】 XILINX/ALTERA/Lattice/Actel #28
レス数が1000を超えています。これ以上書き込みはできません。
~~-~------~~~~~~---~~~~~~--~--~~----~-~-~~-~~~-~-~~-~~~----~~---~~--~-~-~---
~~~-~~~--~~------~--~~--~~--~~-~--~~------~~~-~~-~~-~~-~--~~~~--~--~~~--~--~
~~---~---~~-~-~-~~--~~---~-~~--~---~-~~-~-----~-~~~~~~~---~--~-~---~~~~~-~~~
~~-~-~~~~~~-~-~~-~-~~~~-~--~----~~~--~~--~----~-~-~-~~-~~~-~~~~---------~---
---~---~--~-~-~-~~-~-~-~------~~----~--~~~~~--~-~~~-~~-~-~~-~~~--~-~~~-~-~~~
~----~-~--~~~-~----~~~~-~~--~---~-~~~~~~~~~-~~~~---~---~--~---~--~--~-~~--~~
~-~--~~-~-~----~--~----~---~~~~~--~--~--~------~~-~~~--~~--~-~~~-~~~~~~~~-~~
-------~-~~---~~~~-~~-~-~-~~~~~~----~~-~--~~---~------~~~---~-~-~-~~~~-~~~~~
----~-~--~~~~~~~-~-~~~---~~~~--~---~-~~~~----~--~--~~-~--~~~-~-~-~-----~~~~-
~------~~-~--~-~-~~-~----~~-~~~~-~~~~~~---~-~--~-~~~~---~-~-~~---~-~~~--~~--
--~~-~-----~~~-~-~~----~-~---~~-~-~-~~-~~~-~-----~~-~~~~-----~-~~~-~-~~~-~~~
-~---~--~~~-~---~~--~~~~~~~~--~--~--~--~-~-~---~--~-~~~-~-~~--~--~~~~-~--~-~
---~~~--~~~~-~~~-~~~-~~---~--~~---~~-~~-~-----~~~~---~---~-~-~---~---~~~~-~~
--~~~~-~--~~~~-~~~~~--~--~---~-----~-~~~----~~~~~~~~~-~-~~~--~--~-----~~----
---~~-~--~--~--~~-~---~~~-~---~~---~~-~-~------~~~-~~~~-~~~-~~~-~~-~-~-~--~~
~~-~----~-~-~-~~--~-~~------~~~---~--~~~--~--~~-~-~----~~-~~~~~~~-~~~~---~~-
~~---~~~~--~~-~--~-~-~~-~~--~-~~--~---~-~---~-~~--~~~--~~--~~--~-~~-~---~~~-
--~-~-~---~--~~~--~-----~--~-~~~------~~-~~----~~-~~--~~--~~~-~~~-~~~~~~-~~~
~~~~~~-~-~-~~~~-~--~~~---~~------~~--~--~~-~--~~~-~-~~-~-~-~~---~--~~-~-----
~-~--~~~---~--~--~-~-~~~---~~-~-~~~-~-------~-~~~~~-~~~--~-~---~--~~-~--~~~~
~-~----~~-~~~-~----~---~~--~~--~-~-~-~--~-----~~~-~--~~-~-~~-~-~~~-~~-~~-~~~
~-~-----~--~~~~~---~~---~---~~--~~-~~~-~~~~~--~---~~~----~---~~~--~~-~~-~-~~
--~-~~~~-~----~~~~~~~--~-~-~-~-~-~~-~----~---~----~-~-~--~--~-~~-~-~-~~~~~-~
-~-~~~~~-~-~--~~~-~--~~~--~~--~----~-~-~~~~--~--~-~~~---~~---~-~-~~~-~---~--
~------~-~~--~~-~~-----~~-~~~~--~~~-~-----~-~--~-~----~~~~--~~~-~~~-~~~~~--~
-~-~-~~~------~~~-~~--~--~~-~-~~~~~--~------~---~~~~~---~~~~~--~-~----~~~-~~
~~~~-~~~~~-~---~----~---~~~--~~-~-~-~~-~----~-~--~~~~-~-~~-~-~~~---~~---~---
~-----~---~~~-~~~-~~~~----~~--~~~~~~~--~-~~--~~--~-~-~~~~-------~-----~-~~~~
---~-~----~~~~-~-~-~~-~~--------~~~-~---~~~--~----~~--~-~~~-~-~~-~~-~-~~~~~~
-~~~---~----~-~--~~---~---~~-~~--~-~~-~~~----~~--~~~~~~~-~~-~~-~-~--~-~--~-~
~~-~~-~~~--------~-~-~~~--~~--~~~---------~~-~-~----~~-~-~~~~--~~~~-~~-~~-~~
--~~--~~~---~~~~~-~~~-~-~--~~-~-~--~~-~--~~~~~-~----~--~-~-~~-~---~-~~~-----
~~~~~~~~-~~~-~-~--~-~~~--~~~--~~--~--~--~-~--~~-~-----~~~-~--~~----------~~~
-~--~-~-~-~~----~-----~---~~~~-~--~~--~~~~~~~-~~-~~~~---~~--~~~~---~-~~~----
~----~-~--~~~~-~-~~~--~--~---~~~~-~--~~--~~---~---~~-~~~--~~--~---~~~~~-~-~-
--~---~-~---~~~~-~~~-~--~-~~~--~~---~~--~-~--~~~~--~~--~----~--~-~-~-~~~-~~~
---~~~--~~-~--~-~~~-~~~--~---~~~~----~----~-~--~~~-~-~~--~-~~-~~~-~~~-~----~
--~--~--~~~----~-~~-----~-~~~~--~-~-~~-~~-~----~~-~---~~-~~~~--~~~-~~~--~~-~
~~~~~-~~~----~~~-~~-~~--~~~--~-~~~~~--~--~~-----~-~~--~---~----~-~~~~-~-----
~-~---~--~--~~~~~~~~---~-~~-~--~~~~~~~-~-~---~~--~~--~---~~~~---~----~--~~--
~--~~~~-~-----~--~-~~~-~~~--~--~-~~-~~---~~~-~-~~---~-~---~---~~~~~---~~--~~
~-~--~~-~~~-~-~~~-~---~~~~~--~---~--~~--~~-~~~-~~~~--~--~-~-~-~~---~----~---
-~~~~-~-~---~-~-~~--~--~~----~~---~~-~---~-~~--~-~-~-~--~-~~-~~~~-~--~~--~~~
~--~-~~--~--~-~~-~~-~~-----~~---~~~-~~~~-~-~-~-~~~~~-~-~----~------~~~~~---~
~~~-~~--~--~~~-~--~~---~~--~-~-~~~--~~-~-~--~-~~~~~--~~~---~~-~----~---~-~--
~-~-----~~-~~~~~~-~--~---~--~--~-----~-~-~-~~-~-~~~~~~~~~--~~-~-~--~--~---~~
~~-----~--~~-~~-------~~--~~~~---~~~-~~-~~~~-~~-~-~~--~~~~-----~~-~--~-~~--~
~~~~~~~~~-~-----~~~----~~-~~~~-~---~--~--~--~~-~~---~~~~~--~--~---~-~-~--~--
----~-~---~--~-~-~~~-~~-~~~--~~~~-~---~---~~-~--~~~-~~-~~---~~~-~~~~---~~---
-~~----~~~--~-~~~--~~~~~-----~-~-~~-~~~-~~-~~-~~~-~--~~----~~-~--~-~----~~-- 外部からのパワーオンリセットがない。
MAX10 のパワーオンリセットをFPGA内部で取り込みたい。
assignment にPower-up-levelてのがあってこれを設定するとPowerON時のレベルは設定できる
んだが、リセットパルスを出す方法がわからない。
レジスタのINをLOW、OUTをHighにするとうまくいくかなっと思ったのだがそうすると、
残念なことにHに張り付く。レジスタに設定するとレジスタが生成されないで設定値になってしまう。
そもそもレジスタの初期値が不定なんだから、レジスタの初期値が設定できないとどうにもならない。
なんか方法がないか?
不定とOR H なら H
不定とAND L なら L
なんかできそうかなと考えてみたが、やっぱり時間差がないとパルスを出すのは無理な。
難しいもんだな。 普通にクロック使えばいいだろ
それともクロックを一切使わない(or 使ってはいけない)、組み合わせ論理だけの回路でも作っているのか?
もし、それが絶対条件と言うなら もうパズルの世界
不可能ではないだろうけど、そういったクイズには興味ないね >>6
滅茶うまくいった。もうあきらめてPowerONreset回路を外部で配線しようかと思ってたところ。
//---------------------------------------
// power on reset
//---------------------------------------
reg [7:0] pwonreg = 8'hff;//initialize to 1111111
always@(posedge gclk) begin
pwonreg[7:1] <= pwonreg[6:0];
pwonreg[0] <= 1'b0;//initial = 0 then out streem is 000000011111111
end
assign reset = pwonreg[7] | extreset;
assign pwonout = pwonreg[7]; //virtual power on level monitor >>7
クロックとかの問題じゃなくて単純にレジスタの初期化ができないと思い込んでいただけ。
テストベンチで初期化できるのは、当然理解していたが、ターゲット側が
reg [7:0] pwonreg = 8'hff;//initialize to 1111111
これでPowerON時に好きな値に初期化できるとは思っていなかった。
バカみたいなことだが、それでWaveが真っ赤になるので困っていた。レジスタが初期化できるのなら
何の苦労もなかった。w
でもなんか変だよな。教科書的には
always@(posedge gclk or negedge res) begin
if(res)...
dff <= 1b'0;
else
dff <= dff + 1;
end
こういう書き方が圧倒的に多いだろ。だから強制的にリセットしないとできないのかとてっきり思いこんでいた。
それでないとこんな回路は必要ない。
negedgeの意味は非同期リセットになるからパワーオンリセットをつなぐことを意味するんだよな。
だったら
reg dff = 1'b0;
always@(posedge gclk) begin
dff <= dff + 1;
end
こう書くべきだな。
非同期resなんていらないよ。これでおしまいじゃん。あふぉらし。教科書が悪い。完璧に間違ってるわ。 論理合成ツールに依存するから教科書ではリセットでの代入を書いてる
FPGAでいうとIntelもXilinxも初期値設定に対応してて、Xilinxなんかはリセット使わず宣言時に初期値を与えろとまで言っている
しかし、ASIC出身のうちの部署では未だに宣言時の初期値は使用禁止になってる
最後にASICやってたの20年近く前なのに reset/set 付きのD/FFは 無しに比べて、当然セルサイズがでかい
ASICなら、後は考えるまでも無い
今まで一体やってたんだ?、レベルが知れるよ FPGAだとコンフィグレーションのときに初期化相当のことをするだろうけど、ASICはそれはないよね?
昔のしか知らないけれど、そのときは、要所要所で、非同期リセット入力を使って初期化するように
求められた。 >普通にクロック使えばいいだろ
外部クロックが停止しているときに、なんらかのフェイルセーフがないといかんよね。
デバイスがその仕組みを持ってればいいけど。 FPGAはなんだかんだと難しいわ。PCに比べると100倍くらいマイコンはむつかしいが
FPGAはマイコンよりもさらに100倍難しいな。
もうハードは出来上がってるのにもしピン配置が通らなかったらどうすんの?
これは心臓に悪いな。最終的に動くかどうかドキドキだな。
Error (251005): Can't recognize value PIN_34 as a legal location -- specify a legal location
Error (251005): Can't recognize value PIN_35 as a legal location -- specify a legal location
Error (251005): Can't recognize value PIN_36 as a legal location -- specify a legal location
Error (251005): Can't recognize value PIN_37 as a legal location -- specify a legal location
Error (251005): Can't recognize value PIN_40 as a legal location -- specify a legal location
Error (251005): Can't recognize value PIN_31 as a legal location -- specify a legal location
空きピンなのにこんなんがでてる。なにこれ?
シミュレーションできてたので、いままで気が付かんかった。
assignmennいじくっていて電源が2.5Vだったので3.3Vにしたら消えたw 結果オーライ。
でもまだまだcriticalなワーニングが出てる。 >>15
マイコンはデータシートを読み込んで細かいところまで理解しないと動かせないけど、
FPGAは割と好き勝手にピンを使えるからHDLさえ書ければ楽な気もするな。
IDEやシミュレーターの使い方を習得するのは面倒だし、コンパイルに時間かかりすぎて辛いけど。 合成早いPCを作りたいとしてCPU(シングルスレッド、マルチスレッド)、メモリ、ストレージのどれを優先すればいいんだろうか >>17
10年前に調査したときはクロックだった。最近は色々変わってるだろうからようわからん。
クラウド上で開発環境を構築するのがベターな気がする。使うたびに金かかるけど1時間数十円とからしい。 当方、本職はプログラマ。
FPGAに興味を持って勉強中。
terasicの評価ボード使ってやりたいことの目途が立ったんだけど、それ専用のボードを設計する知識がない。
何か参考になりそうな本とかありませんかね? >>19
基板設計の知識・経験がないという事でしょうか。
回路は評価ボードの回路図を参考にすれば概ねOKですが、アートワークは細かいところまで考えると難しいですね。
でもアートワークはとりあえず接続さえ間違わなければ一応は動きますけどね。
SoCFPGA使ってBSPがどうとかの話だと自分はよくわからねーっす。 module addctl(
input wire gclk,eclk,rclk, we3,rd3,we2,run,
output wire ctreset,pr_wen,rw_eclk
);
reg we3rd3;
reg rw_eclkout;
wire rw_eclkin;
always @(posedge gclk)begin
we3rd3 <= we3 | rd3;
rw_eclkout <= rw_eclkin;
end
assign rw_eclkin = (we3rd3 & !run) | (eclk & run);// addup
assign rw_eclk = rw_eclkout;
assign pr_wen = we3 & !run;
assign ctreset = run ? rclk : we2;
endmodule
ここでmodelsimでRTLデバッグするとpr_wenは波形がでている。にも拘わらずwe3rd3がでていない。
なんでだろ。 意味不明なのでPrime19にvupしてみたが同じだった。
変な現象が発生したときはtechnologymap viewerでみると時々配線ができてないことがあるのでそれも
確認してみたが、正常にレジスタが生成されて配線ができている。わからんよーーー。 >>20
>基板設計の知識・経験がないという事でしょうか。
ですです。
terasicのDE10-Liteを使ってるんですけど、これって回路図の提供されてるんですかね?
インストールメディアが無かったから、公式サイト漁ってみます。
CQ出版のMAX10のキット付き本に、回路設計に役立つものがあるらしいので、それも探して見ます。 >>23
わざわざ探していただきまして、本当にありがとうございます。
これで一歩進めそうです。 NIOS IIのコンパイルはマイコンに比べると大分遅いんだな
トライアンドエラーをしてると時間がどんどん消えていく NIOSのコンパイルはそんなに時間かかんなくね?
毎回BSPまでコンパイルしてんのか? 昨日初めて使ったのであやふやですが、Cを書き直したあとにcleanでコンパイルしてます。
これで約2,3分かかり、デバッグ開始にまた1分くらいかかってます。
普段使ってるルネのマイコンだと1分かからずにコンパイル+デバッグ開始できるのでだいぶ遅いなと あまり開発に向いてないPC使ってるんじゃね?
ルネのマイコンのプログラム規模が不明だけど、詰めるだけ詰め込んだりしなければ10秒とか20秒で終わるでしょ >>30
毎回クリーンする必要はない
一度コンパイルすれば以後変更されたファイルのみコンパイルされる 20年くらいぶりにxc9572xlを使ってみようと思うのですが、パラレルケーブル3を作れば良いのですかね? あぶねー
国際貨物受け入れる停止っぽいね
つい先週digikeyでDE0-CVとDE1-SoCかって届いてたけど
1週間遅れてたら危なかった >>36
八潮から出荷された物が通関通るようなとこに住んでなければ 在庫有なら良いんだけどな
中華生産のはやばそうだな
漏れも中華LASERのOHP1月に注文したのでセーフだった オキニから「明日来てくれたら、追加無しで基盤やらない♪」って4月1日の0:03頃に連絡が来てたが、明日って明日か?今日か?(笑)
ナイトメールを21:46頃にしたが(笑)
最近の若者はよくわからん奴が多いが、オキニのやることだから振り回されてやろうじゃないか(笑)
今日はエイプリルフールだな(笑)
とりあえず準備中(笑) >>31-33
30ですが、cleanからbuild allに変えたら大分早くなりました。
情報ありがとうです。 >>32
ノートPC(7300u+4GB)で開発してたのですが、試しにデスクトップ(2600k+18GB)でコンパイルしたら1/4くらいで終わりました。マイコンと違ってFPGAだとPC性能が重要なんですね。 Xilinxのサンプルソースに以下のものを見つけました。
always @(posedge clk)
begin : hcounter
if (hpos_clr)
hpos_cnt <= 11'b000_0000_0000;
else if (hpos_ena)
hpos_cnt <= hpos_cnt + 11'b000_0000_0001;
end
beginのあとの 「: hcounter」のような書き方を初めて見たのですが、これはどう意味か
わからず困っています・・・ ラベルです
詳細はググって
なにかメリットあるかというとなんもない気がする >>45
ラベルというものの存在を初めて知りました。
ありがとうございました。 >>48
どっちもwindows10です。
もしかしたらRAM不足かもしれません。
quartus primeの推奨RAM量はMAX10で2GBらしいので、4GBのノートPCだとページファイルを使ってそうです。 64bitOSで4GBはつらいと思います。
32bit XPで4GBでは古いQuartusでも時間かかりました。今は 仕事ではRAM 256GBのマシンで開発してる
CPUのコア数とRAMが速度に効く FPGA開発用にマシン用意するとしたら
ryzenよりintelのほうがいいのかな 大学教授さん、FPGAの開発環境を超高速化できるアーキテクチャの研究をしてくれよ 実用的な技術に関しては企業>>大学だね
教授自身が優秀でも実際に作業するのが学生ではどうにもならないよ 理学とかはともかく
工学であれは
大学 << 越えられない壁 << 企業
だよ。
というか大学で修士までやった奴が企業で研究やってんだから当然といえば当然
大学が優れてる点があるとすれば、自分が研究やってるある一点についてのみ企業よりレベルは上なのかもな。 時間かかってるのって配置配線で、もろに組合せ最適化問題だから量子アニーリングで高速化できそうなんだよな 開発環境は何か役に立つんだか立たないのかわからないものを作るためのツールで、それ自身の成果はアッピールしにくいから HDMIで入力された画像を縮小して、HDMIで出力したいと考えています。
具体的には、"1920x1080 (16:9) 60FPS" を "1080x608 (16:9) 60FPS" で出力することです。
このように整数倍ではない中途半端な倍率でスケーリングする場合、使いやすいIPや手法・アルゴリズムは
あるでしょうか。自分でも調査中です・・・・
変換の遅延はできるだけ小さくしたいと考えています。 >>66
あっ、すいません。
説明がおかしいので、もう1回書きます。
"1920x1080 (16:9) 60FPS" の画像を"1080x608 (16:9) に縮小して、
その画像を 1920x1080 の画像の真ん中に表示して1080Pとして出力する。
が正しいです。 >>68
はい、背景(1080x608ドットの動画の周囲の)は、静止画(フレームバッファから読み出しのみ)を表示したいと
思っています。 >>66
バイリニアかバイキュービックでいいんじゃない? >>70
>>71
ありがとうございます。
参考にさせていただきます。 HDMIで入力された3840x2160 60FPS動画を h265で圧縮してファイルに落としたいのですが、
GPU/CPU/FPGAの切り分けを検討中です。
どういう設計にすればいいのでしょうか? リアルタイム性の要求とかRAM制約とかがないなら全部CPUでやれば? 4kで60fps圧縮だと、zynqのev以外に選択肢ない
ワンチップでできる なにも制約がないならPC+HDMIキャプチャ+GPUでやれとしか… GPUやCPUはハードウェアエンコーダ付いてるからFPGAより速い。 たしかに安いな
普通に買うとチップ単体の方が高そう >>82
一瞬で値上げされたな
値付けミスだったのかもしれない PL法で何かあったらどうするんだ!と言われて、提案したIPの企画が棚上げになっています
それほどまでに恐ろしいモノなんでしょうか? PL法で何かあったらどうするんだ!と言われて、提案したIPの企画が棚上げになっています
それほどまでに恐ろしいモノなんでしょうか? 100%安全なものはないから
運用でカバー
リスクコミュニケーション 状況がよくわからんね
>>86はメーカー勤務で、見つけてきたIPが社内の安全基準を満たさないってこと?
それとも>>86が作ったIPを売るってこと?(この場合はPL法は関係ないような) 契約の問題でしょ
書面ガチガチに固めれば問題ないと思う まあ不都合があって修正がきくものだったら修正版を提供するってくらいかな
それをタダでやるか金取るかいつまで相手するかなどは>>89でいいんじゃない 昔XilinxてPLLじゃなくDLLしかのってなかったのに
しらんうちにPLLのせるようになったのはどーゆー理由?
そもそもFPGAのDLLって
相関器の差を利用したCDMAのDLLとは異なるもんよね? DLL位相を直接制御し、
PLLはVCOにより周波数を制御制御すると。
位相は周波数の積分により算出できることから伝達関数のオーダーがPLLの積分作用素分だけ1/s倍されてると、
分母のオーダーとしてPLLが1次大きいってことはわかった。
んで結局DLLとPLLの得失としてはどーなるの?
ジッタとかどっちが有利なん?
収束はDLLのほうが速い気もするけどどーなん?
DLLのほうが簡単なんでDLLから実装し始めたけどパフォーマンスとしてPLLの方が上なんで、
素直にPLL搭載にシフトしていったってこと? そうでしょ
ジッタ面では不利だが、PLLの方が生成できる周波数の幅が広い
1つの発信器から、任意(比較的)の周波数のクロックを生成できる
集積度が上がったんで、搭載できる機能が増えた
例えば(Video / Audio / USB / HDMI / PCIEx)とか
それぞれ固有の周波数が必要だが、一々それ毎に発信器を用意してられない ジッタでPLLが不利???
ジッタクリーナでDLLなんか使ってるのないけど
遅延線決め打ちってことならDLLの方が最終的な制御段数としては粗くならないの?
VCOは電圧制御に使うDACのビット数あげれいくらでも細かく制御できるように思うけど。 君は、位相比較とループ・フィルタについて もっと勉強すべきだな
そうすれば、そのような的外れな主張も減るだろう PLLはアナログ動作が必要なので、
簡単な構造でON/OFFだけを沢山させたいチップに載せ難かった
つうのが昔話 >>95
phase detectorとループフィルタてPLLの話だろ?
片側の制御ループの勉強がなんでDLLとの得失に言及できるんだ?
PLLが不利なのはDLLでは必要ない周波数ロックのキャプチャレンジとロックアップタイムの話じゃないのか?
そもそもソースのジッタが出力にまんま現れるDLLより、
ジッタ制御でPLLが不利っていったいどうーゆー意味か説明してくれませんかね?wwww Avnet の営業が来もしねえんだけど、そういうもん? ツール買ったりしてるけど会ったことないや。
ってか、今の時期、外回りしないだろ。
Stay at home, Save lives. Digikey Mouserで買えるんだから、Avnetなんていらん。
商社に下手に絡むと、量産時に面倒。 >>102
商社通さないと面倒な会社もあるんですよ。
数万円ならコーポレートカードで決済しても通せるけど。 FPGAのサポートするFAEでどっかいい会社ないの?
みんな技術力が落ちてる まぁオレの技術力もないんだが。
FPGAメーカそのものが応えられない状況が続いてて
10年前はここまで酷い状況じゃなかったと思うんだが FPGAでいう技術力ってほぼツールの使い方じゃん
ひたすら資料読み込めばいいよ
ただしxilinx、テメーはダメだ stay at home
と
stay home
どっちが正しいの? >>106
そうXilinxだよ。資料読んでもちんぷんかんぷん
まともなサポートなんぞ誰もいねぇ
結果使えないorz
FPGA嫌いになった。Xilinxのサポート貧弱過ぎて >>111
Achronix なんて、なかなか通じゃないか。 >>111
ごめん、もしかして Actel のこと?
あそこは Microsemi に買収されたんだ。 >>116が何言ってるのか分からなかったけど、>>117がわかりやすく解説してくれて助かったよ
そういうことだったんだね お前らFPGA/CPLDで射精できるのか
なかなかやるな 合成中にプログレスバー見ながらシコる上級者しかいないからな 十分かどうかはどうでもいい。FPGAで射精する手段を書いたのみ >>127
ライセンス認証がグローバルIPのフローティング認証w >>125
嫁はん判別IPは、マイクロ秒単位で判別可能
ただし、結婚後は不要 もう、FPGAは終わったのか
XilinxはAIのみで大きいデバイスしか興味なし
IntelはCPUアタマ打ちの次の金儲けでユーザ興味なし
Latticeは小さい領域で細々
GOWINは日本で買えない
あと何かあったっけ?Actel?
儲からないFPGA技術者 >>136
技術いらないなら、三日ぐらいでシミュレーションぐらい新人はこなせるはず 未だに上流をやって、下流を下請けに出すことが正しいと考えている馬鹿も多いよな。
大手企業は上流をやって稼いでいるのではなく、会社のネームバリューで仕事を取るっていう形の、商社なだけだぞ。
それを理解せず、御用聞きと丸投げのことを上流といって、安いだけの下請けに仕事をなげてトラブってばかりの会社とかもあるけどな。
安い人間を使って日常的にトラブルが発生することが当たり前で、利益率なんかより予算達成の方が重要だと思っているのがこの業界のマネジメント層だよ。 >>137
シミュレーションくらい出来るだろ。
ファイル選んで実行するだけだ。 大企業コンプがひどいな
御用を聞いて、仕様書を書いて、アホな下請けを管理することの大変さが分かってない べんちというか、RTL部分とシミュ指定部分の区別がついていない >>138
わかる
要求出す立場だけど、自分の仕事がむなしくなることある 専門知識が必要な仕事ではあるが、「正しく伝わるような」資料の作成の時間が長すぎて、自分で設計・実装する方が早いんじゃね?とか思ってしまう >>146
無理無理
会社の中では下っ端なので仕事を選べない >>149
FPGA技術者の年収800万円ぐらいにしようよ 平均的なFPGA技術者にそこまでの価値があるのかいな 求人サイトとか見てると悲しくなるな。
月収25万〜とか、新人かよ。
年収800万でも都会だと厳しくない? 都会でも800万で十分だよな(FPGAのくせに結婚とかありえない) 長年FPGAやってるけど
田舎だからギリギリ400万だわ (FPGA技術者が子持ちだなんて犯罪以外にありえない) FPGAコンプレックスのASIC業者が紛れ込んでいるな FPGA設計というのは、FPGAで嫁と子どもを創るんだ。そういう上位設計ができなければ、低賃金になるんだよ。 FPGA設計というのはマクロセルの構造考えるんじゃないのか? FPGAを設計している人は、このスレの中でも少数派じゃないですかね 『FPGAプログラミング大全』という本もあるけど、実はFPGA「を」プログラミングする行為を指していた? おまえはASICをプログラミングするとかいうのか? Field Programmable Gate Array
現場 書換可能 (論理)門 配列 周辺回路図考えて書いて基板監修してアルゴリズム考えてHDL書いて制御ソフト書いてケース考えて組み立てまでほぼ一人でやってるよ
基板設計とマウントは外注
零細なんでね >>164
多分、言いたいのはプログラミングじゃなくてコンフィギュレーションってことかな? >>168
まさかぁ
コンフィギュレーションが主内容の本じゃないよ アナログ回路とデジタル回路設計して基板設計してプログラム組んでHDL書いて
ボーナスなしで月20万ももらえない業界だし仕方ないね さすがにそれだけスキルがあって月20万未満はあまりないと思うけど…
週5日×8時間ほど働いて月20万未満なの? 東京周辺なら仕事はいっぱいだけど少し田舎になると20万が普通 親の介護とか、何か事情があるんだろうね
がんばって FPGAで引く手あまた?www
いったい今の日本でFPGAの製品実装なんて仕事がどれだけあるよ
かつてASIC起こす際の試験でFPGAは多用されてたけど、こんな案件も国内プロジェクトでどんだけ残ってるかっていうね。
テレワークシステムがRaspberry PiでもできるようにFPGAを持ち出す案件がどれだけあんのさ? カメラやモニターの画像処理にFPGAを使ってる会社ならいくつか知ってる
いくつかしか知らない >>179
ASICの置き換えの市場なんてごくわずかだから、相手にされないだろう FPGAは高価過ぎる
高価過ぎて売れない→売れないから値段下げられない
の負のループに陥ってる >>181
そもそも動作周波数遅いし、単価高いし、
書き換え可能な劣化版ASICとしての量産に向かない市場しかないじゃないか。 お前ら案外fpga使ってないんだな
こっちは年々fpgaの仕事が増えてきて手が回らないから、
ソフトから増援を呼んでなんとか対処してる 通信機器とか放送機器とかASIC化する間もなく進化するからFPGAの独壇場だぞ >>186
それだってモノとしては本来ASICにしたいけと
時間と開発コスト考えたら割に合わないんでFPGA選択ってことだろ
>>185
そんな分野が日本でまだ残ってんのか?
5G基地局か?華為から調達できなくなって目論み変わって、国内メーカの尻たたき出した?
エリクソンあたりはいいけどサムチョンとかから調達すんのやめてよね >>携帯電話会社 >>165
大体CPU+GPUでかたづくよね
FPGAっていうかHWで動作しなきゃならない部分ってのは
モデム関係の正確なクロックに同期して動作する必要がある箇所限定じゃねーの
コレだって大抵FIFOでかたづくし、FPGAだってとろいクロックでしか動かないわけで、CPUと同じような構成になるのでは?
Intelが注力してる金融取引にFPGA使うとかはよくしらねーけど
一体年々増えてるFPGA開発ってどの分野か教えてよ >>186
進化が速いくて追いつかないじゃなくて、マーケットが極少だから誰も手を出さない
だから、 ASICにした処で 元が取れないのは明白だからな
そういった分野はそれなりにあるし、今後 無くなる事も無い
市場規模で 60億米ドル/年 あって、それが まだ伸びてる訳だから
---
>183-184
クビになった、無能 派遣かなんか知らんが
それを無視した嘘話をしたところで、結果は変わらんよ >>188
普通に産業向けの装置なんだがな。
ASIC屋か知らねーけど消費者向けの
装置なんて業界で見れば少数で
多くはBtoB向けだろ。
そもそもCPUじゃIOが貧弱すぎて単純な
ものしかインターフェースできない。
多くのIOの同時操作はできないし、
高速なICも2,3個載ってたらもう制御しきれない ASICが1日で出来上がるならASIC使うけど何ヶ月も掛かるじゃん?
そんな次元で仕事してないんだわ。 >>183-184
FPGAをまともに使いこなせなかった派遣さんですか? 無能派遣と言われ続けてきたけど、派遣使わないとできない奴らが無能では 派遣抜けて、転職して1年後上司が変わって
抵抗をつけて放電時間をはかるだけの作業を毎日一ヶ月近くやらされたことを思いだした
その上司裏で散々ワイの悪口いうとった
FPGAわかりませーん。のくせに退職届出したら無能通告
退職後本名で検索したら、LSIメーカーにいて特許も出しておった
半導体関連からくるヘンテコな奴が派遣に来たり、中小にいたりすんの何とかならへんかな 東芝てかキオクシアはフラッシュしか作ってないやん
LSIというならルネっしょ
こういう機能性LSIの3D実装ってできないんかな? >>197
総合電機はLSIメーカーとは呼ばないよね。
設計専門会社はメーカーじゃないし。
確かにルネサスくらいしかないな。 まあ、ルネも元は総合電機メーカー(日立と三菱)の一部だったんだけどね >>199
300Wの14nmを積層されても迷惑なだけだが、
分子に大きさがある限り微細加工は早晩行き詰まる
絶好調のTSMCだって3nmの次はわからん
平面方向のプロセスルールが行き詰まったら
クロックの伸びはもう完全に終了しても、、
回路規模の増大は、縦方向に実装すればなんとか延命できる
縦方向に回路つくらなくてもバスを介して1階,2階のコアをつなげばいいだけだと思うけど
2025年ぐらいで行き詰まるんじゃねーの? >>03
オーケーインターナショナル半導体?
https://www.okinjp.com/ >>208
まずそこまで大規模な回路を積んだチップが本当に必要かどうかですよ 単純に
CPUはもうクロック上がらなくなって
コア数増やして並列数で処理量増やしてるという現実がある
同じダイサイズならコア数によって値段が決まる WindowsもMacも無駄に大きなOSになっちまったもんなぁ
CPUも大きくしていくしかないのか USB3.0 to SATAブリッジって世の中にいっぱい流通しているけど
チップのみ買える&設計に必要な情報が公開されているチップは多分ない
USB HUBやSATA PMPなんかも同様
かといってFPGAで実装すると言っても簡単じゃないしなぁ 専用のphyが必要
そんなもの外付けしてまで、FPGAに取り込もうとするヤツは まずいない
$1〜2程度のヤツで、そんな事をしたら 価格が完全に逆転してしまう 確かopencoreにsataのip転がってたぞ
あとはusb3ならftdiとかでいいし 例えばJMicron JMS580+JMB575でUSB3→SATA3×5とかやってみたいが
チップは売っていないし、マニュアルもないし、ファームウェアもないで詰み 個人を相手にしだすと、たまに変な人もわいてくるからねえ 2進数の10bitで来た信号(10進数の0〜999)を
10進数の桁ごとに分けて2進数の出力をしたいんですが
d739 (10'b1011011100) → d7 (4'b0111) 、d'3(4'b0011)、d'9(4'b1001)
今は来た信号を100で割り算して百の位を出力、
出力を百倍して元の信号と引き算して10で割り算して十の位を出力。。。
のような流れで割り算、掛け算+減算の階段でやっているのですが
掛け算、割り算を用いないでもっとスッキリした方法はありますか?
極力軽い動作にしたいのですが、初心者のため思いつかないので
ここに知恵を借りに来ました。 >>218
100ワード分のROM作ってテーブル引き 12KBほどのBRAMがあれば、1サイクルで答えを出せるわけか
いいねえ >>221
普通は10で割った商を保存しながら剰余を出力し
それを繰り返すと思うんだが
割り算使っちゃいけないというんで
そんな方法しか思い浮かばんかった >>222
実用上、ベストな方法だと思うけどなー
学校の課題の答案としてはダメかもしれんけど
他のスレとマルチしてるし、向こうのスレではBCDというキーワードももらってるし…
この辺↓を読んで自分で考えて実装すればいいと思う
https://kona2europa.wiki.fc2.com/wiki/2%E9%80%B2%E6%95%B0%E2%86%92BCD%E5%A4%89%E6%8F%9B >>254
雑に書くけど
if(a>=900)b=9;
else if(a>=800)b=8;
…
a-=b*100;
みたいな感じどーよ?
わり算なし。 テーブル引きって便利すぎて覚えると多用しちゃう
1/xとか三角関数とか出てくるとまずテーブルで検討する ぶっちゃけ>>218程度だとFPGAに計算させるより高速なマイコンでLUT引いた方が速かったりして 74シリーズでそんなICがあったんだよ。
何個も組み合わせるんだけどどうなってるんだか
厨房のオレには分からなかった。 マルチなのかコピペ荒らしなのかもうすぐ分かるだろう テーブルがいやなら桁ごとの評価してみては
100より大きいかみて大きかったら100引いていって、引いた回数が100の位
10の位も同様、余りが1の位
ま、割り算してるのと同じだが乗算器は使わないでできる このスレのFPGAエンジニアがあまり先人に学ぼうとしないことが分かってしまって辛い Vivado 2020.1が出ているわけだが、インストーラのサイズがインフレ気味だな
今回
Vivado HLx 2020.1: All OS installer Single-File Download (TAR/GZIP - 35.51 GB)
前回
Vivado HLx 2019.2: All OS installer Single-File Download (TAR/GZIP - 26.55 GB)
前々回
Vivado HLx 2019.1: All OS installer Single-File Download (TAR/GZIP - 21.39 GB) うんんざりするようなサイズ
その9割は、絶対に使わないようなファイルで占められている Visual Studioと比べたら驚くような数字ではない いやいや、数年以内にはVivadoがVisual Studioを圧倒的大差をつけて追い越すんじゃないの? Visual StudioはVerうpでダイエットした希ガス ザイリンクスはデバイスはいいのに
相変わらず開発環境はクソだな 教えてください。
Xilinx Spqrtan6 FPGAを使用した基板を作ったのですが、
ミクロンというメーカーのM25P40というSPI Flash ROMが廃品だったので、
同じ4Mbitの、MicrochipのSST25VF040を使用しました。
iMpactでJTAGで、FPGAは認識するのですが、SPI Flashが認識しません。
というか、SST25...がリストに上がって来ないのです。
そこで教えてください。
1. iPmactのDevice...でリストに出てこないのは、容量は同じでも、
iMpactのリストの中のデバイスを使わずに、別メーカのものを使用したからでしょうか?
2. そのリストの型式と実物を合わせないと、iMpactでは絶対に書けない、という理解は正しいでしょうか?
3. FPGAに必要な容量が2.7Mbitです。ならば4Mbitでも、16Mbitでも、
2.7Mbitより大きい容量のROMは使用できると思いますが、
mcs自体が4Mbitの前提で生成してあるので、それ以上の容量ならOKというわけではなく、
ちゃんと4MbitのROMにしないと、そのままでは書けない。
つまり再度bit→mcsを行う必要がある、という理解は正しいでしょうか?
よろしくお願いします。 SPI Flash ROMには製品コードが書かれている領域があって、
書込みソフトに登録してあるコードと一致しないと、次に進まない。
新しいROMを使う場合は、コードを登録しないとアカンのやけど
いわゆる裏技になるのでやほーとがggrksでございます。
知らんけど 特定の領域にアクセスしたら嘘コード還すtrap造れないかな >>243
リストにあるflashとリードとライトのコマンドが同じならできる
ただし環境変数にベンダーID確認をスキップするやつを追加する必要がある >>250
うちでは動いとるよ?
Win10のWSL上で >>243もSpartan6と言ってるし、ISEじゃないのかな ベンツのライトに付いてるコントローラーにM3が入っていたよ 住所がアメリカやカナダでも実は中華人が中華売ってるってことは非常に多いよ
俺の業界では すでにそこ通り越して 堂々と中華として売ってる
アメリカ人はただの販売員
しかも中華人自体が米大メーカーのリストラ
コードの開発頼んだらちょっとまってくれと言ってわずか3日で出してきた
アメリカ人には無理 ついてるコメが漢字だったし
結局そこを切って上海ルートで原作者探し
なんとシンセンだった
今度は英語の問題が発生 相手がわからない Google翻訳で返事してくる 社内通訳は外国語大出てるが 技術センス マイナス 会社の敵 足引っ張ってる もう世界中イギリス英語で統一しろ!
トランプみたいに変な雅語とか文語使うな 偽知識人め イギリス英語はいいけどイギリス人の話し方は分かりにくい。 >>259
スタサプのCMじゃないけど、ネイティブのしゃべる英語は
アクセントのストレス位置しか発音していないからな。
Ni-MH(Nickel?metal hydride :ニッケル水素電池)とか
「にっかめっとへっどら」に聴こえるw >>260
文字化けした Nickel?metal → Nickel-metal 世界中で英語を話す人口が増えれば増えるほど、
イギリス人アメリカ人等いわゆるネイティブのしゃべる英語が
分かりにくくマイナーなものになるという悲劇(喜劇)が起こる。
日本人の英語発言は国際会議などでは聴き取りやすいと
(母国語が非英語の)各国から評判が良い、という話もある。 日本で教えてるのが Queen's English だからじゃないの >>250
ググれば出てくるが、DLL入れ替えたら動く。 中華spartan6の安いボードSDram付き
使ったことのある人いる?
2000円くらいのやつ XC6SLX16 / MT48LC16M16: $22.3
動いてるよ
中華品は、当り外れが激しいからな
仮に、同じところでまた買ったとしても、それが動くかどうかは運次第 公式は何でVMなんか使わせるんだろうね。アホだね。 spartan6しか使わないと割り切って、
Windows10でISE14.7を使いたい。
現在の、廉価FPGAって、Xilinxだと何になるの?
spartan6でいいのかな? 正規ルートで買うならS7
中華ルートでよければS6かA7
lcscもかなり安い コロナの前でも、到着まで一ヶ月だったからな
今は どれだけの時間が掛かるか判らん、中華品 xilinxから「もうS6はやめてくれ!」って言われてるよ
売れてるデバイスだけどツールサポート考えると負債なんだろうな CPLDも含めて、製造中止に強いプログラマブルデバイス、みたいな言われ方もしたことがあったのにな。 デバイスの乗換自体はそこまで大変じゃないからな…
だけど悲しいかな
基板設計や実装が大変な時代になってしまったので… ロジックや回路の設計自体は横においておいて、
製造中の基板の部品を載せ替えるとなったら、基板の費用も、試験も、客先承認も、とめっちゃ厄介だし。 >売れてるデバイスだけどツールサポート考えると負債なんだろうな
旧ツールのサポートをやめるために、デバイスを打ち切るってちょっと考えにくい。
実際のところは、デバイスの儲けも悪かったんじゃないのかな。
インテルも小規模デバイスからはフェードアウトしそうだし。 スパルタン6はまだ大丈夫だろ
2027年までは製造するってメールに書いてあったぞ
新規設計は間違いなく非推奨だが 2027年以降、XilinxのFPGAが使えなくなるのか… あと7年もあればS6を超えるデバイスを開発してくれるだろ もう小規模デバイスはLatticeぐらいしかないのかな。 > Spartan-6 デバイス. 少なくとも 2027 年までの出荷が保証
公式:日本語でのアナウンスで↑だからな
日本の感覚では、この文面から 2028年:即出荷停止 になるとはとても思えない
Spartan-3 じゃ手狭だが、Spartan-6なら間に合う
Spartan-6より安いなら代替も有りだが、そうでもなければ Spartan-7の規模はいらない
こんな処だな 値段安くて使いやすければ大規模でもいいよ。スカスカで使うから。 >>290
容量ギリギリだと、配置配線の関係で速度出しにくい。 最近はMAX10かCyclone10LPばかり使ってるな
容量が幅広くて使いやすい
自分にとってザイのはでかすぎる、ラティスは小さすぎる MAX10で外付けなしで3.3Vのみで動くのは使いやすすぎ。
これで100ピンや64ピンがあるといいのに。 Cyclone 10 LP、リネーム商法の真骨頂だな
名称は 5 -> 10 にぶっ飛んだが、プロセスは逆に2世代ほど逆戻り
開発に力を割かずに、こう言う馬鹿な事ばかりしてるから会社が傾くわけだよ IntelのCPU選ぶときにデータシートなんて見るか? 基板設計するとき使いやすくてmax10使ってるが、レパートリー増やしたくて他に何にしようか迷ってる
cyclone10lpとかeqfpあって良さそうだけど、何か他にオススメあります? 会社行きたくない会社行きたくない会社行きたくない会社行きたくない… MipsologyのZebraというのは有名ですか? いやー、知りませんでしたわ
お値段おいくらでしょうか? Max10を電圧変換して5Vで動かそうとしてるが、誤動作しまくる。対策ないか? 会社怖い会社怖い会社怖い会社怖い会社行きたくない会社行きたくない会社行きたくない会社行きたくない >>311
電圧返還チップ、TIの双方向スルーのやつ。
0.1ufをチップのすべての電源に入れてる。あと10uFをメインに何個か。
多分切り替わりのスピードが速すぎてノイズが乗るのだと思う。
スルーレイト調整する方法はないか? と思っていろいろ調べたがみつからなかった。
外付けの電圧返還チップも無茶高速なので、外部から返還チップを経由して入ってくる信号が
スイッチングするときにノイズが乗るのだろうか?
考えてみたこと
1)単独で誤動作するか? 今までの経験からあり得ない。だとすると、返還チップが悪さしているに
違いない。
2)だったら5V側からのアクセスをやめて単独でテストしてどうかを確認する。
もし5V側からのアクセスでノイズが乗っていてFPGAを誤動作させるのであれば、そのラインにだけ
対策をする。
3)しかしFPGA基盤側は基板が小さいので対策は無理。5v側にはゆとりがあるので改造は可能だ。
5V側出力のスルーレイトを遅くしても効果があるはずだ。 I/O H/Lを切り替えるとき、引っ張り合いをして勝たんとあかんタイプやな。
内気な素子ではよう扱えんで。
FPGAの出力は最大ドライブモード 入力のぷるうぷだうそは禁物や Spartan7 に DDR3-SDRAM を設計しました。DDR3を使う基板は初めてです。
FPGAは問題なく動作するのですが、DDR3がうまく動かず、どこを確認したら良いか
全然わからず、基板をかなり小さく作ったのでプローブをあてる場所もなく途方にくれています。
回路が悪いのか、基板が悪いのか、FPGAの回路が悪いのか、絞れない・・・。
まずは回路図に問題があるかどうか知りたいのですが、もし回路図アップしたら軽く見ていただくことは可能でしょうか。 金儲けを他人に無償でやらせるのって
いい商売ですよね とりあえず見せてみろよー
(アドバイスできるとは言ってない) >>315
>I/O H/Lを切り替えるとき、引っ張り合いをして勝たんとあかんタイプやな。
これどういう意味ですか?
I/O入出力を切り替えする場合ってことですか? じゃあ双方向バスで使う場合はやばいって
ことですか?
>内気な素子ではよう扱えんで。
内気な素子とは?
内向性・外向性みたいなことがあるん?
>FPGAの出力は最大ドライブモード
なるほど、最大ドライブモードにするということですね
>入力のぷるうぷだうそは禁物や
これはどういう意味だろう。入力のプルアップダウンは禁物ってことですよね。
この入力っていうのはFPGAの入力のこと? なぜプルアップダウンが禁止なの?
ファンアウトが足りなくなるってこと? >>314が変換デバイスの型式を書いてないのは不思議。なんで書かないの?
TIの方向を決めなくていい、スルーの変換デバイスは自己ラッチみたいなスタイルのと(TXB0304)
Nch MOS-FETみたいなスタイルのと(TXS0108)2種類はあるし、それぞれで動作は違う。
後者は、変換デバイスの入力はプルアップが原則。
前者は、ドライブ側が自己ラッチの出力抵抗に勝ってH/Lを決める必要がある。
あらためて、TXB0304のデータシートを見てみたら、自己ラッチの出力抵抗が1kΩだ。
・この変換デバイスを外部で(例だから極端にしてみて)1kΩでプルアップしたら、変換デバイスがそのピンにLを出力しても、Lにならず中点電圧になってしまう。
・この変換デバイスを外部から駆動するとき、そのピンが変換デバイスでLに駆動されていたら、外部から駆動するデバイスは、数100Ω以下でHに駆動しないと、Hにならない。 日本語っぽく観えるけど
ネイティブ日本人じゃない人が書いたような日本語 >>320
あ、ごめん。仕様は以下の通りです。
電圧変換
TXS0108E 2電源タイプVCCB 5V VCCA 3.3V
双方向にコントロール信号なしで使えるので非常に便利。(動けば、、、)
MAX側
MAXは10M08SCE144A7G 電源VCC_XXXは全部3.3Vにしている。
10uFをバンクごとに入れて全部で5個、パスコンは全電源ピンに0.1uFを31個
IOのプルアップは無し。
5V側
10k〜22Kでプルアップしてるところがある。
なんか根本的に間違ってるんだろうか?
内部ロジックは大したことない量なので、内部のRAMをロジアナ(チップスコープ)のメモリにして
デバッグしてます。まるっきり動かないわけではないけれども、誤動作しまくる。 >>316
自分も今年動かなくて苦戦した
まずはZQcalibrationが成功したか確認すべし DDR系は、トレーニングとか うんざりするヤツが漏れなく付いてくるからな
パラメータが山のようにあって、それだけでもう一仕事
今はどうか知らんけど
箱から合成させると、トレーニング ただその為だけに "XilinxならMicroBlaze" / "Alterra ならNios"
を吐き出してたな
DDR系 必須の用途ならやむを得ないが、そうじゃなきゃSDRを選んでた方が利口(大は小を兼ねない)
容量は不要だから、俺は キャリブレーション無しでSDRとして使う といった強者も見たな
コレ見たときは、やるなぁ〜と思った >>325
お城で三田?
何Msps?
双方向バスのOEの待ち時間は?単方向で三田? >>316
ドキュメント通りに作れば、そこそこは動くだろ。 >>328
お城で触るのは基盤が小さすぎて無理かな? しかし見れるところもあるかもしれない。
それは検討に値します。
双方向バスが切り替え時にぶっつかるということですね。
ただOEコントロールは今はしていないです。入力も出力も両方あるけれでも、電源立ち上げ時に
決定して固定です。 電源立ち上がりの時だけはOEを殺してます。 現在は、Verilogなどが主流ですが、
FPGAを、回路図で書いていた時代があると聞きました。
昭和何年頃のことでしょうか? 63とか64くらいはGALとかCPLDじゃなかったかな MAX+PLUS IIの頃は回路図が主流じゃなかったかな 今でも回路図入力を使ってる人はいるよ。
開発ツールがサポートしているのは、一定の需要があるから。 主流だったのはいつかという話で
今も使われてるかって話じゃないよね 主流という言い方なら、回路図入力が主流であった時代ってあったのかな。
初期のものでもPAL以降、PALASM、ABELみたいな言語で作ることが多かったと思う。
ゲートアレイとかは事情が違ったろうけど。 >>335
ADHL って何だ?
オマエは ADHD だろ。
いや ADSL だったか うちの会社では平成2年の入社の人が回路図でFPGA設計してたと言ってたけど >>340
既存の74タイプの標準ロジックからの回路の置き換えだったらそれが楽だしね。 こんにちは
SoCなFPGAの設計の勉強をしたいのですが、
FPGAプログラミング大全+ (Zybo or Arty)
でイイですか?
verilogやCを使って高位合成も少しカジれると
イイです
他にオヌヌメ有りますでしょうか?
金欠な学生なのでこれより高いのは厳しいです… OE固定にして、入力ならともかく出力までしているとかどんなデザインレビューをしているんだろう >>342
それだとちょっと古いので今からやるならUltra96だと思うけど、易しい解説本とかあったかなあ
たぶんここよりtwitterでハッシュタグ付けて聞いたほうがレスポンスあると思う >>344
早速の御アドバイスありがとうございます!
調べてみたところ、ultra96の方が新しくてAIの勉強も出来るんですかね
プログラミング大全の内容が易しそうなんですけど、ultra96に読み替えて出来るとイイんですけどね、そこまでやり切れるか…^_^;
もう少し調べてみます
少し予算オーバー^_^;ですけど、アカデミック価格もあるのか調べてみます >>343
スリーステートは OR になるとかあったような。
ゲートアレイはスリーステート使えるやつもあった。 学生さんはFPGAなんかよりサイクリングでいい汗かこうぜ >>343
>320 が説明してる通り、コンフリクトが起こることを前提としたデバイスだよ
使った本人がそれを理解したうえで選択したかどうか、それは疑わしいが・・・
データシートも見ずに人にモノ言い、しかも間違えてる。
オマエこそだろ DRAM,シーケンサで位相合わせとか
そっから作ったの?
DramはコアジェネとかIPでやったけど
serdesつこうたのはADCでやった
これのときはトレーニングからシーケンサ組んでやったが >>348
>>320の
>Nch MOS-FETみたいなスタイルのと(TXS0108)
こっちはコンフリクト(?)前提ではなかろうし。
とは言っても
↓これは意味がわからない。
>>343
>OE固定にして、入力ならともかく出力までしているとかどんなデザインレビューをしているんだろう
むかしからのデータバスでも作らない限り、出力ピンはほとんどがOEの制御なしで出力しっぱなしなんだけどな。 英語くらい知ってるよ
アメリカやイギリスで使われている言語のことでしょ >>352
データバスインターフェイスとしてのTXS0108E TXS0108Eは、一般的なNch-MOS FET+プルアップのトランスレータ
たとえば
https://s3-ap-northeast-1.amazonaws.com/switch-science.public/schematic/FET_LEVEL_SHIFTER/fet_level_shifter.pdf
に、遷移時の補助ドライバ( One-shot Accelerator) を付け加えたものだと思う。
>>352のD0がLに落ちる前にISAバス側がふわっと上がってるとき、他のDバスの信号が先にHに遷移してる。
これがD0の補助ドライバを誤動作させてるとか、それに加えてISAバス側のD線の静電容量が大きいとかがあるのかも。 よく見たら、>>352の最初の波形写真の、D0の1個目の怪しい動きのタイミング付近で
プロセッサ側のD7,6,2,0の立ち下がりのタイミングでD3にヒゲが入ってる。
FPGA側にはこんな信号はないような気がする。
TXS0108Eの電源が弱いとか、配線の線間で混じりやすいとか、実装上の問題もあるかも。 yellow: read / green: write
blue: D0 Processor-side (5V)
pink: D0 FPGA-side (3.3V)
TXS0108Eの出力インピーダンスが高いんで、Processor側のバスをドライブしきれない。
赤まるで囲んだ処だけじゃなく、他のもう一箇所も怪しい
共通しているのは、最初のリード。(この時点でドライブ方向 A<->B が変わった)
2回目以降は、既に方向が変わった後なのでなんとか動いてる
外部からの方向制御が無いヤツなんで、こんな風に振舞うよ
CPU側のバスは重くて(沢山ぶら下がってる)、FPGA側は軽いんだろ(FPGA以外は何も繋がってないとか)
一般的な使用例ではそうだわな
方向制御に手を抜いてパーツを選択した、その結果の代償
本人は、良い勉強なったろ TXS0108Eだよね?
>>359
>この時点でドライブ方向 A<->B が変わった
TXS0108E自体にドライブ方向という概念があるんだろうか。 >>359
>yellow: read / green: write
>blue: D0 Processor-side (5V)
>pink: D0 FPGA-side (3.3V)
青は5Vサイドなんだけど、デコードされたライト(緑)がHのときも5Vは振ってないように見える。 もしかしたら、赤丸のところのプロセッサ側D0ふわっと上がってるのは、
どちらからのバスもハイインピーダンスになっていてそれで5Vへのプルアップで上がっているせい? 双方向端子
誰がマスターになってFPGAが入力にするか出力にするか制御してんの?
それしてないで、信号ぶつかって化けてるって騒いでいるだけじゃないの? >>363
普通に考えたらプロセッサ側は少なくとも自分がライトするときにはデータバスを駆動するだろうし、
FPGA側の作りとしては、外部からRead信号を受けたときにデータバスを駆動するでしょね。(実際そのように書かれているように見えます)
あなたが書かれているようにリードタイミングでアドレスデコードの遅れとかで、ISAバスのほかのデバイスがHを出しているとかかな。
時間とともに問題がなくなるというのはタイミングが変わるのかもしれないし。 >>365
>確認してねーのか!
誰に言ってるんだろう。
この回路図の人は他のデバイスのアドレスデコードの方法まで書いてないよ。(と思う。読み落としてるかも) >>239
FPGAでIPに相当する部分が、OSや.NETに全部有るからな。 vivadoとかQuartusのエディタがゴミクズなのでVisyal Studioで開発できるようにして欲しい
MSがBingのサーバでFPGA採用したときの発表でMS社員がVisualStudio使ってコード書いてたんだよな
社内専用で一般公開予定はないと言ってたけど公開して欲しい Visual Studioのエディタそんなに使いやすいの?
全部起動遅い印象あるし、いっそviとmakeでいいじゃんって思ってんだけど さすがに vi はやだけどエディタとmakeには賛成 お前はそうすりゃいいじゃん
誰もおまえにVSCode使えなんて言ってないし sakuraでいい
FPGA開発で、VsCodeをなぜ使わないんだと言ってくる奴何かのコミュ症なんじゃないかと個人的には思っている。めんどくさい Visual Studio のエディタと Visual Studio Code は別だけど、混同してちゃ嫌ですよ。 VScodeはサクサク 糞重いVStudioなんて好んで使わない >>382
何回でも負けてあげるから、たまには勝たせてほしい
1年ほどの長期休暇が欲しい ハードウェア業界ってみんなテレワークとかしてる?
FPGAだけならともかく基板とかも触らないといけないから
なかなかテレワークとかできないんだけど >>387
自宅に持ち帰って動かすのが当然ということ? 会社によっては機材の持ち出しがNGだったりするしね。セキュリティとかで。
「設計とコーディングならFPGAもファームも実機なしのパソコンだけでできるだろう。持ち帰ってやれ」
って考える管理職の人もいるそうで、それはそれで辛いだろな。 「Arty S7」の拡張ピンを経由して、Spartan7 にHDMIコネクタを直結してHDMIモニターに画像を出しています。
「Arty S7」の電源を切ってモニターの電源を入れっぱなしにしていると モニター側から「Arty S7」側に電流が
流れ込んでLEDが光っぱなしになります。
HDMIコネクタの18ピン(+5V)や他の信号の電気的接続をカットし、
TMDS0, TMDS1, TMDS2, TMDS_CLK, GND だけ接続した状態にしても状態は変わりません。
TMDS系の信号から電流が流れ込んでいるようですが、このまま放置しても良いか、間になにかの対策を
入れるべきか、どうすればいいでしょうか。 家にオシロやロジアナや安定化電源やスペアナくらいはあるでしょ オシロがなくても気合と根性があればデバッグできるよ 24Mhz8chのUSBロジアナ安いからオススメ
1000円しない
ぱちもんだけど 仕事行きたくない仕事行きたくない仕事行きたくないよ
一体どうすれば勝ち組ニートになれるの教えてFPGAで機械学習を極めた人 機械学習で自分と同等の能力を持たせてやってそいつに在宅勤務させればいいじゃん 私と同等の能力だったらいつクビになるか分からないでしょうが!(>_<;) FPGAフリークなら、ロジアナぐらいそのへんに転がってるFPGAの評価基板で作るんじゃないの? そのレベルのFPGAフリークが、一体、日本にどれだけいるというのだ… ゼロから作るの面倒
ロジアナに食わせるめんどくさいトリガ作る位かな sigrokあたりとインターフェースが取れるなら、表示とかプロトコル解析は
そっちに任せられるんだけど、そのあたりがわからない。 そもそもFPGAでロジアナ使うことほぼ無いけど
signaltapとchipscopeあれば十分 (signaltapとchipscopeすら使ったことないなんて言えない) FPGAをASIC化について質問があります
現在、製品に使っているFPGAのASIC化を依頼したところ800万円ほどの見積書をいただきました。
これ一般的に高いですよね?
内容によると思いますが適正価格とメーカー名を教えていただきたいです。
自分の会社では昔から近くの地元メーカーに制作を依頼しており、値段の感覚がおかしくなっております。
(プリント板の試作(100*1000四層30枚)に20万をかけていた等) プリント基板 100*100mmでした申し訳ございません >>409
このスレは使うの専門だから
製造依頼とか詳しい人いないと思うわ
大人しく見積依頼しまくるか、先輩たちに相談するべき プロセスと規模にもよるけど高過ぎとは思わないかな。 内容によるけど800万円は適正か安いくらい
釣りじゃなければ高いと思うほうがおかしい イニシャルコストなのか
そもそも月産の予定はどれくらいなのか
一切情報らしい内容も無いしな… 800万円というと、0.18umをフルマスクで試作というイメージ。 ASIC化したくないASIC化したくないASIC化したくないってことだろ素直に上司にそう言おうぜ 28nmマスク80枚で5億円(ウォンじゃないよ)って言われた。マスク代だけだけど。 ななしっくす無職のクセに聞く態度がなってねーんだよ
流石天下の精神病棟だな
おまえはもう必要ないから
違うIDにして発言してこいよ
いつものようになゲラゲラ
ななしっくす ムショクカァ
悔しい悔しいクスクスクスクス
ナンダァキサマムショクカァ
ハイショーッ!
ゲラゲラゲラゲラ!
おまえは使えないから、さっさと違うななしっくすモッテコイや
精神病棟に沢山の精神病患者飼ってるのに、取り替える人材すらいないとか流石底辺の精神病棟会社だな
カネが無いから取り替える人員がいないのか。
多重人格がおまえの得意技だろうがゲラゲラ intelが買収したけどeASICって本当に安いのかな?
昔客先で使ってて話聞いたけど
マスクが1枚で済むから安いとか ASICやりたくないFPGAやりたくないCPLDやりたくないついでにGPUとかスパコンも嫌だよぅ >>425
女子高生とセックルができるGPGPUやFPGAはどこですか なんか舌の調子がおかしい、味を感じない
昼食に舌がしびれるくらいニンニクを山盛りしたけど、きっとそれは関係なくてコロナのせいだから、月曜は会社を休んで保健所に連絡だな そこで超々激辛カレーですよ
四月にやったヤツは舌はバカになってたけど胃が痛くなったらしい >>429
良く判らんけど
おめでとう!
って言えば良いのかな? 時間が経って味覚が戻りつつあるんだけど、どうすればいい? アパートの隣の部屋の人がベランダでタバコばかり吸っています
煙がこっちに流れてきて臭いが付くので迷惑しています
そこで、日本を背負って立つ凄腕エンジニアの皆さんなら、どうやってFPGAを使いますか? 大家に連絡
吸い殻の処理が心配
火事になるって言えば良い >>437
spaltanをなでて精神を落ち着けながらやるんだぞ 臭いセンサーでタバコの臭い検知したらお引っ越しおばさんのBGM流す FPGAにカメラと機関銃を取り付けて、画像認識によってタバコを検出したら照準・掃射 うむ、日本を背負って立つ凄腕エンジニアならそのくらい朝飯前 その女子高生の脳にFPGAチップをぶっさしてマインドコントロールだな 仕事行きたくない仕事行きたくない仕事行きたくないとにかく仕事行きたくない…
お願いだ、働かなくても老衰まで生きていける世界をFPGAで創り出してくれ 健康的な若者でも使いやすくなるように受給資格を緩和してくれ 国民の上位2%しか受給できないってひでえよな、差別だよ なぜお前らが現在、ナマポを受給できていないのか
これまでのお前らの人生を省みるんだな、自業自得だ ナマポで悠々自適な日々を送っているお前ら
毎日一生懸命働いているのに、健康で文化的な最低限度の生活すら満足にできない俺 毎日8時間もオフィスに拘束されている時点で、すでに健康でも文化的でもないと思う
だから在宅させてくれ なんでわしverilog VHDL出来て時給1100円で派遣されてたんだろ
ナマポの方がよかった リホリホが来てくれても、私にナマポの受給資格はないんですよ
資産:あり
収入:あり
扶養してくれそうな親族:あり
実態は負け組なのにね 金もださねえのにFPGAもできない奴が仕事しろというのは、バカニシテ自分でやれやボケナス言うた方がええで。 いやぁ…
まあ言ってみたいけど、怒りに任せてそんなことを言ったところで、健康で文化的な最低限度の生活ができるようになるわけじゃないから… 受給資格を得るために、FPGAを使ってできることはないか
FPGA評価ボードを大量に買って資産(家とか金とか)がなくなったら、受給資格が得られるかな FPGAで、年収1千万円以上ある一軒家持ちの女性の紐になるにはどうしたらいいでしょう このスレのFPGAフリークなら、手持ちのFPGAを全部売ったら数千万円は下らないだろうから、その金でコールドスリープ
数百年後には誰でも年収1千万円以上ある一軒家持ちばかりになっているので、その中でお前を養ってくれる女性を見つける ハイエンドの最高のやつでも数千万円はしないような…… うむ、このスレのFPGAフリークならハイエンドFPGAボードの100個や200個くらい当然持っている そのハイエンドFPGAのクラスタで、トレーディングが上手でかつ>>459を甘やかしてくれる女性型の人工知能を構築すれば… 100万円以下のFPGAボードでナマポゲットする方法はないでしょうか >>328
あれから机にうつ伏せて寝ていたら夢でお告げがあって、其のとおりにしたら何も問題なく動くようになりました。
あれだけ訳わからないほど中途半端に誤動作していたのに、抵抗を一本つけただけで非常に正確に堅牢に動作するようになりました。
複雑なロジックにも係わらず何一つ手直しすることなく、つまりRTLデバッグのみで完璧に一発で動作しました。
TXS0108E
このチップになにか不安定な要素があるような誤解を受けられたらTIさんに申し訳ないので事後報告しておきます。
このチップは使いやすさという点では完璧でなにも問題ないようです。
ただ、抵抗一本といえども高密度(1005)なので自分のはんだ付け技術ではパターンをカットして追加などという芸当は
不可能なのですが、たまたま其の抵抗をつけられるように予めパターンは準備していたので、事なきを得ました。
一時はどうなることかと心配しました。開発にかけた費用が回収できないとすると非常に辛い。設計している時は
殆ど気にしませんが、いざ動かす段になるといつもいつも冷や汗を書いているような気がします。
FPGAに限らず最近の高密度のハード設計はなんともリスクの高い仕事ではあります。 >>469
osiroで見れるポインヨないっつってたよ >>469
どこに付けたのか書いてくれればいいのに
ここまで騒がせたんだから ここまで騒がせたんだからな
RTLコードと回路図にガーバーも晒さないとな ここまで騒がせたんだしー、FPGAを使ってナマポを受ける方法くらいは考えてもらわないとー あああああ仕事行きたくない仕事行きたくない仕事行きたくないなんとかしてくれ>>469 >>476
仕事にいかなければいい。雀ですら仕事をしないで生活しているんだから、いくら脳みそが無いと
いっても雀に比べれれば巨大の脳を持っている。その人間に雀にできることができないことはない。 ニートになったことあんの?
雀並みの脳みそで、人間が簡単に生きていけると思ってんの? 飛行機はスズメと違ってだいぶ大がかりな機械だしなぁ FPGAと人工知能の研究者はもっと頑張れ
働かなくても食っていける世の中にしてくれ
頼んだぜ >>478
飛べます。
>>479
空の鳥を見なさい、種も蒔かず、刈り入れもせず、倉に納めもしない
何を食べるか,何を飲むか,何を着るか,などと言って心配するのはやめなさい。
これらのものはすべて与えられます。
イエス・キリスト >>483
食うためにそんなものはいらない。イエス・キリストは2000年も前にすでにナマポを予言していた。 あああああぁぁぁ…
昨日から今朝まで頭痛がひどくて、とうとうコロナかと期待したのに、今ではすっかりおさまってしまった
なんなんだ一体、どうして俺の体は毎週ぬか喜びさせるんだよ あー、仕事行きたくねー
ファンタジーな異世界に行って、女神の力で女体化して、チヤホヤされながら旅してぇ 精神的には元気なつもりだが、健康診断は要精査(D2)だぜ >>491
それ究極の矛盾だよな。女体への憧れから妖艶な美人になってみたいが、一方で美人を押し倒してやりまくりたい。
しかし問題は美人になった自分が男にやりまくられることをどう捉えたらいいのか?
大概の男はその矛盾を解決できなくてそこから先へ進めない。
せいぜいパンティを集めてコッソリはいてマスタベーションしてみたりする。
だけどこの矛盾を突き抜けて、愛ちゃん?みたいに金玉落として徹底的に美人を目指すのも玉にいる。
しかしその矛盾を突き抜けるのはそう簡単ではない。
具体的に愛ちゃんがやったのは、まず鉛筆を肛門に入れるところからで、そこから段々と太くしてゼリーを塗った
おナスがスイスイと抜き差しできるところまで訓練した。でもここでのおナスは象徴的にはあくまでも男から切り取った
男根で、その所有者は自分自信だ。だからやはりマスタベーションの域をでない。
愛ちゃんの場合はある時その男根を憧れの女神が手にした。実際には銀座のママで桜さんという人が愛ちゃんが
あまりにも中途ハンパなのに見かねて、お客さんの前でおナスを何度も何度も突き刺して犯した。
それで愛ちゃんは初めて犯される体験をした。それによって自分が手にしていた男根が他者に渡った。
でもこの時点ではまだその男根の持ち主は男ではなかった。ところがそれから数日したある日、映画館で男3人に
丸裸にされて実際に男根を何度も挿入されて犯された。それによって愛ちゃんは初めて女になった。
つまり矛盾を突き抜けるには2つの壁を乗り越えないといけないということだ。
>>489
まだファンタジーの域をでてないな。
これだからFPGAは難しい。さあ寝よう。 あ、一つ忘れてた。肛門はオマンコのように異物を受け入れるようにできていない。だから肛門になにかを入れると
感染とか病気になりやすい。小さくても駄目。三菱鉛筆でもだめ。エイズが同性愛者から広がったのはそれが
原因らしい。FPGAの豆知識。 >>496
Female Pussy Genuine Asshole
で画像検索するとFPGAが即座にわかる。隣の人に見られないようにね。 これが真のFPGAか…
普通に気持ち悪い画像ばかりだったが、新しい世界が拓けたよ Field Programmable Gokiburi Array
現場でプログラム制御できるゴキブリ軍団 大半の人は安くこき使われるだけだから、女が寄り付くわけがない
男って単純だから、大学教授あたりに簡単におだてられて、うっかりFPGAエンジニアを志望しちゃうんだよ ゆとりとバカにされるだけあって劣化が激しく無理なんだよ
単純作業ですら満足にこなせない若者だらけだ 人が寄り付かない理由の2割くらいは環境構築の難解さだと思う
わかっててもやりたくねぇもん 苦労してFPGAに習熟しなくても、世の中の大半のことはソフトだけで十分実現可能だしな CPUで十分やれることを、適当に理由をでっちあげて、わざわざFPGAやGPUで実現しようとしている大学研究室にいたことあるけど、あのゴミのような研究に科研費が出ていたのは、お偉方の目が曇っていると言うしかない >>516
肝心な研究に金が出ない理由を考えればお偉方はメクラだって分かりそうなものだが 女の娘が女の友達のことをガールフレンドと呼ぶのは抵抗無いけど
男が男の友達のことをボーイフレンドと呼ぶと何となくッアー Xilinx使ってる人は書き込み機は基本USB Platform Cableなんですかね。
評価ボード買ったはいいけど書き込み機が高くて躊躇してます。
Alteraみたいに代替品の安いやつってないんでしょうか。 一番安いのはFT2232Hを使うTE0793
でもコネクタが独自で配線が面倒 評価ボード買える金があるのに、安いの買って地雷を踏みたがる初心者脳ミソわからない。
ワイの上司やったら更に草
地雷報告カモーン 簡単に書き込める6千円くらいの評価ボードもあるのにね FTDIのチップ載っててUSB挿せばJTAG見える評価ボードが数年前から主流な気がする
もうしばらくplatformケーブル使ってない FTDIの方が安いのに純正の赤いのより速いらしい
しかし特別な何かがある訳でもないのにDigilentのライセンスが書き込まれてないと使えんのはどういう意味だ? 純正のはショボいマイコンで動いてる
FTDIはフルスピード対応してるし
JTAG専用のペリフェラル内蔵だから速いよ USBのフルスピードは、12Mbps
素直に数字でも使っておけば良いものを、
センスの無い命名をするから、こう言う事になる
これ、英語圏の人でも間違うだろ、責められないよ ハイスピードのほうが480Mbpsだったか
ほんまややこC >>528
純正って中身スパルタンじゃなかったけ昔 ハイスピードは USB 2.0 の規格であって、
USB の最高速は 12Mbps だから、
フルスピードという名称で問題ない >>533
USB2.0 でも 3.0 でも Full-speed は Full-speed だぞ。フルスピードじゃないけどな。
USB 3.0 の変なコネクタとか、微妙な規格作るのはやめてほしいな。 >>534
そこに3.1や3.2になったり4.0が追加されたりね・・・ USB Platform Cableは高額なケーブルだけあって、さぞかし12Mbpsより圧倒的に高速なんだろうな 吉瀬先生と三好先生にはちょっと悪いが、Artyボードぐらいなら自分で買うわ Low-Speed - 1.5 Mbps
Full-Speed - 12 Mbps
High-Speed - 480 Mbps
SuperSpeed - 5 Gbps
SuperSpeedPlus - 10 Gbps
最初に、馬鹿げた名称を付けるとどうなるか?
いい見本だな
--- 以下 想像 ---
SuperSpeedPlusPlus - 20 Gbps
SuperSpeedPlusPlusPlus - 40 Gbps Hyper Speed
Extreme Speed
Ultimate Speed
:
たくさんあるから大丈夫 昔のSCSIの速度名を思い出す、名前で速度名を書かれてもピンとこなかった 素直に100Base-Tとかみたいに
USB1.1-1.5MとかUSB3.0-480Mとか
USBのVerと速度で表すようにすればいいのにとは思う >>546
それやると
速度ウヤムヤにして売りつける事が出来ないじゃんww >>548
おっ、40Mbpsか
USBの最高速度(フルスピード)より速いじゃないかw >>548
ダウンロードもrom書き込みも速い。
胃が軽くなる。
lanで繋がってるからサーバーで合成して手元のボードや別室の装置にすぐダウンロードできる。 あーまた明日から仕事か行きたくねー…
昨日から少し咳が出やすいんだけど、これってコロナだよな? なーんか、昨日は飲食店等で若者の集団の近くにいること多かったし、少し笑っただけで咳が出ちゃうし… >>554
おう、当然そのつもりだ
>>555
パソコンを会社に置いてあるんだよね
いやー、残念だなー 家のPCで仕事しちゃいかんだろ
御社のセキュリティ意識どうなってんだ でもみんなそうしているんならモニターやらWebカメラがバカ売れしたりはしないと思う
うちの会社も未だにシンクラではないしERPのアカウント運用も使い回しが当たり前だし
UMSは塞いであってもMTPは開きっぱなしだしガバガバや うちもシンクラではないねぇ
かと言って個人端末もさすがにダメだけど うちの会社は単に「騒ぎにならなければ問題は存在しない」なだけだな
問題になったときは誰かが吊し上げられる。当然隠蔽体質
これでもその方面では有名な会社だし東証一部上場なのだから世の中恐ろしい
新型コロナ関係もマスク付けていれば3密だろうが問題ないだし 平熱で体調も万全
会社を休む理由が見つからないな
λ............ 厚労省の通達によれば、前日までの有給申請は通さないといけない。
つまり当日の申請は却下できるということだ。 相応の理由があって欠勤するならともかく、ただのワガママで欠勤は無責任だと感じるよ
懲戒事由になるんじゃないの? 俺が大学院生だったころ、教授が社会人学生に向かって、会社を欠勤して学業を優先しろと勧めている場面に遭遇したことがある
俺はこの教授のところに配属希望を出したことを心から後悔した 有給は既に使い切っていたからねぇ
リモートでのゼミを断固として認めない教授だったが、このコロナ騒動で今どうしてるのやら ブラック研究室はブラック企業以上にブラックやぞ
学べることがないのに授業料払いながら毎日徹夜作業だからな それだったら外国人実習生と一緒じゃないか。
一般の最低賃金のしばりがない労働者にすぎないというか。
授業料を払ってるんだし余計にひどいか。卒業が人質。(実習生はパスポートが人質だとか) 日本の先端科学は学生の無賃労働に支えられているところがあるのは確かでは
文科省の科研費のみだったらもっと酷い状況になっている 科研費は廃止して、これまでの国の借金の支払いに充てればいいよ まあ、時間稼ぎしてるだけの大学教員や研究者も多いようだし、科研費は絞っていいよ 基礎科学分野の予算はアメリカとかと比べてかなり少ないのに
減らしたらさらに差が開くオチしか見えないが 基礎科学は各応用分野の基盤になっている、基礎科学力の低下は
各産業、防災や国防の低下につながるが? 無料の番犬に家を守らせたはずが
いつの間にか有料になり、番犬が家を好き勝手してる国があるよな 基礎科学の全てが各産業、防災や国防につながるわけもないしなぁ めっちゃつながってるが?
想像力の欠如ってのは恐ろしいもんだな 化学無しで高性能な半導体の製造なんか不可能
もっとも日本はすでに先端半導体から脱落しているが おっと…
科研費に関して言えば、日本は得意分野に絞ればいいと思う
半導体やFPGAは海外に任せていいよー >>592
あぁ、傘さして飛んでくる家政婦?ナニーだっけ? 海外任せで良いというなら海外製部品の入手性や値段に文句を言うべきでないな しつこく不安を煽ろうとする人がいるようですが、「別にいいですよ」としか言いませんよ ニートとして言わせてもらうと、金稼いでる人からたくさん税金取って、そのお金で立派な研究をして、色んなサービスを無料で提供してもらいたいです そんなわけないでしょw
納税者かどうかなんて、いちいち区別してらんないでしょ? FPGAの研究者や技術者ごときにニートを駆除するなんて無理でしょうw
無力! FPGAでなければニートを判別できない理由付けが必要ですね
CPUでいいじゃんって言われたくないでしょ? 使い分けは大事だよね、マイコンだけに異様に固執して他は全く手をつけないって人もいる 値段を考えるとマイコンですむならマイコンですました方がよくね アダプティブコンピューティング研究推進体「ACRi」(アクリ)は、特定の用途に合わせたディジタル回路を実現するために使用する半導体デバイス「FPGA」の利用環境を無償で提供する「ACRiルーム」を、8月1日に開設した。
同ルームはオンラインで無償利用でき、東京工業大学構内に設置された100枚を超えるFPGAボードと開発用ソフトウェアにリモートからアクセスして利用する。
利用者は、日本国内でFPGAに興味のあるすべての人が対象で、「ACRiルーム」のウェブサイトから事前に利用予約すれば使用できる。初年度(2021年7月末まで)は1000人の利用を想定。
また、「ACRiルーム」の目的や使い方についてデモを交えて紹介する「ACRiルーム紹介ウェビナー」を、8月6日に開催する。
https://ict-enews.net/2020/08/03acri/ >>609
>>537
個人的には、自前の方が好きに使えて気楽です アカウント申請はしたけど、今のところFPGAよりもサーバ目当てになりそう ところでXilinxの真っ赤な刺すボードってどうやって使うの? FPGA初心者です。
お勉強用にxilinxの載った評価ボードを購入したのですが、開発環境ソフトライセンスというのが付属してない製品を買ってしまいました。
別途、購入出来るのでしょうか?
購入出来ない場合、ボード自体意味なくなってしまうのでしょうか? 特別な機能(有料の)を使いたいなら話は別だが、
勉強とか言ってる程度なら、当面は無償版で提供される機能だけで十分だろう?
相当なサイズだったとは思うが
普通に、サイトから無償版をダウンロードすれば良いだけじゃない デバイス毎に状況違うから、どの基板か具体的に書かないと有用なアドバイスは出てこないよ
安い開発ボードだったらS6とかzynqの小さい奴だろうけど、前者はISE、後者はvivadoで、どっちもwebpackでなんとかなるんじゃね? zybo 7010というやつです
全く使い物にならないわけではないのですね
安心しました
無償版というのを調べてみます
ありがとうございます 調べてきました
zybo-7010に搭載されてるデバイスは、XC7Z010
vivadoのwebpackはXC7Z030まで対応
ということなので、webpack版でイケそうですね
では、なぜ、開発環境ソフトライセンスというものが存在するのでしょうか?少なくともこれが付属してるzyboはお値段が少し高く設定されています sdsoc環境はc/c++で書いたプログラムをfpgaのロジックに置き換えて並列化/高速化するためのもので、上級者向け
とりあえずfpgaだけ使うんであれば、vivadoのwebpackで十分
有償vivadoは大きいデバイスで必須なのと、enduser licenseのipが使い放題なのが大きなメリット
axiにつなぐipがほとんどタダで使える 初心者はおとなしく>>609を活用すればよいものを…
(ただしLチカの感動は味わえない) 皆さんありがとう
・zybo&vivado webpackで従来の?FPGAの使い方を勉強
取り敢えず上記で始めてみて、進み具合に応じて
・ACRiを利用してみる
・開発環境ソフトを利用した使い方?を検討してみる
で進めてみようと思いました zynqに詳しい人いますか?
PSからDDRにライトして、そのデータをPL側で使いたいたくて、axiマスターのipでリードしてるんだけど、ライトしたデータが読めない。キャッシュディセーブルとかやってるんだけど。難しい。 vivado hlsのC simulationでエラーが出るんだけど、この解決方法分かる人いませんか?
ネットで調べても有用な情報がなかった…
ちなみに、合成(C synthesis)自体はできる
プロジェクト名QUBO0
ソースファイル名qanneal
Starting C simulation ...
/opt/Xilinx/Vivado/2020.1/bin/vivado_hls /home/user/ダウンロード/ts20/QUBO0/solution1/csim.tcl
INFO: [HLS 200-10] Running '/opt/Xilinx/Vivado/2020.1/bin/unwrapped/lnx64.o/vivado_hls'
INFO: [HLS 200-10] For user 'user' on host 'shimomai-VirtualBox' (Linux_x86_64 version 4.15.0-112-generic) on Tue Aug 25 16:44:02 JST 2020
INFO: [HLS 200-10] On os Ubuntu 16.04.6 LTS
INFO: [HLS 200-10] In directory '/home/user/ダウンロード/ts20'
Sourcing Tcl script '/home/user/ダウンロード/ts20/QUBO0/solution1/csim.tcl'
INFO: [HLS 200-10] Opening project '/home/user/ダウンロード/ts20/QUBO0'.
INFO: [HLS 200-10] Opening solution '/home/user/ダウンロード/ts20/QUBO0/solution1'.
INFO: [SYN 201-201] Setting up clock 'default' with a period of 10ns.
INFO: [HLS 200-10] Setting target device to 'xc7k160t-fbg484-2'
INFO: [SIM 211-2] *************** CSIM start ***************
INFO: [SIM 211-4] CSIM will launch GCC as the compiler.
Compiling(apcc) ../../../../qanneal.c in debug mode
INFO: [HLS 200-10] Running '/opt/Xilinx/Vivado/2020.1/bin/unwrapped/lnx64.o/apcc'
INFO: [HLS 200-10] For user 'user' on host 'user-VirtualBox' (Linux_x86_64 version 4.15.0-112-generic) on Tue Aug 25 16:44:17 JST 2020
INFO: [HLS 200-10] On os Ubuntu 16.04.6 LTS
INFO: [HLS 200-10] In directory '/home/user/ダウンロード/ts20/QUBO0/solution1/csim/build'
INFO: [APCC 202-3] Tmp directory is /tmp/apcc_db_user/240791598341458072952
INFO: [APCC 202-1] APCC is done.
Generating csim.exe
@E Simulation failed.
ERROR: [SIM 211-100] CSim failed with errors.
INFO: [SIM 211-3] *************** CSIM finish ***************
4
while executing
"source /home/user/ダウンロード/ts20/QUBO0/solution1/csim.tcl"
invoked from within
"hls::main /home/user/ダウンロード/ts20/QUBO0/solution1/csim.tcl"
("uplevel" body line 1)
invoked from within
"uplevel 1 hls::main {*}$args"
(procedure "hls_proc" line 5)
invoked from within
"hls_proc $argv"
Finished C simulation. >>628
ミス、こっちだ
プロジェクト名QUBO0
ソースファイル名qanneal
Starting C simulation ...
/opt/Xilinx/Vivado/2020.1/bin/vivado_hls /home/user/ダウンロード/ts20/QUBO0/solution1/csim.tcl
INFO: [HLS 200-10] Running '/opt/Xilinx/Vivado/2020.1/bin/unwrapped/lnx64.o/vivado_hls'
INFO: [HLS 200-10] For user 'user' on host 'user-VirtualBox' (Linux_x86_64 version 4.15.0-112-generic) on Tue Aug 25 16:44:02 JST 2020
INFO: [HLS 200-10] On os Ubuntu 16.04.6 LTS
INFO: [HLS 200-10] In directory '/home/user/ダウンロード/ts20'
Sourcing Tcl script '/home/user/ダウンロード/ts20/QUBO0/solution1/csim.tcl'
INFO: [HLS 200-10] Opening project '/home/user/ダウンロード/ts20/QUBO0'.
INFO: [HLS 200-10] Opening solution '/home/user/ダウンロード/ts20/QUBO0/solution1'.
INFO: [SYN 201-201] Setting up clock 'default' with a period of 10ns.
INFO: [HLS 200-10] Setting target device to 'xc7k160t-fbg484-2'
INFO: [SIM 211-2] *************** CSIM start ***************
INFO: [SIM 211-4] CSIM will launch GCC as the compiler.
Compiling(apcc) ../../../../qanneal.c in debug mode
INFO: [HLS 200-10] Running '/opt/Xilinx/Vivado/2020.1/bin/unwrapped/lnx64.o/apcc'
INFO: [HLS 200-10] For user 'user' on host 'user-VirtualBox' (Linux_x86_64 version 4.15.0-112-generic) on Tue Aug 25 16:44:17 JST 2020
INFO: [HLS 200-10] On os Ubuntu 16.04.6 LTS
INFO: [HLS 200-10] In directory '/home/user/ダウンロード/ts20/QUBO0/solution1/csim/build'
INFO: [APCC 202-3] Tmp directory is /tmp/apcc_db_user/240791598341458072952
INFO: [APCC 202-1] APCC is done.
Generating csim.exe
@E Simulation failed.
ERROR: [SIM 211-100] CSim failed with errors.
INFO: [SIM 211-3] *************** CSIM finish ***************
4
while executing
"source /home/user/ダウンロード/ts20/QUBO0/solution1/csim.tcl"
invoked from within
"hls::main /home/user/ダウンロード/ts20/QUBO0/solution1/csim.tcl"
("uplevel" body line 1)
invoked from within
"uplevel 1 hls::main {*}$args"
(procedure "hls_proc" line 5)
invoked from within
"hls_proc $argv"
Finished C simulation. >>629
これだけ見ても分からんけど
経験上論理合成できてもシミュレーション動かないやつは
実機でもうまく動かないことが多い
結局Cコードの書き方に問題があるケースがほとんど >>631
631の言う通り、書き方変えてみたら動き始めたわ
さんきゅ Vivado ですが、合成〜配置配線〜ビットストリーム の流れが終了したら それを知らせる音を鳴らすことができませんかね? >>627
すみません。ZYNQまだ苦戦しております。
DDRのデータをPLで使う方法がよくわかってません。
今、ZYNQのAXI_HPにAXI_DMAを接続してます。
DDRのアドレスは0x00000000〜0x3fffffffです。
まず、PSからDDRにデータをライトするため、
SDKで0x00000000に0x12345678をライトし、
0x00000000をリードするアプリを作りました。
Xil_Out32(0x00000000, 0x12345678);
Data1 = Xil_In32(0x00000000);
xil_printf("Data1: %x\n", Data1);
結果、0x12345678になりました。
次に、このDDRの0x00000000にライトしたデータ0x1234567を
PL側のロジックで使いたいとしてどうすればよいでしょうか? >>634
Zynq使ったことも無いんだけど、GPIOつけて出力してoutdata[31:0] 有効信号outdata_valid つければええだけやないのか あとデータのアドレスとデータのバス
データのバスに書き込んだFFのデータがそのまま読まれているとかでは無いのか?
例
addr=0x0 data=0b1010 を書き込む
addr=0x8 data=0b0101 を書き込む
addr=0x0 を読み込む data=0b1010であることを確認する
addr=0x8 を読み込む data=0b0101であることを確認する
をしてデータを反転して読み書きをすると初めて基板上のメモリーが読めたと言える。
FPGAに内蔵した時は、どうよ。 あとXilinxのDDR3コントローラを直に使ってシミュレーションかけてたことがあるんだけど、AXIバスの都合なのかバーストモードのリード回数が固定でイライラしてた記憶がある。 >>636
試してみました。
Xil_Out32(0x00000000, 0x10101010);
Xil_Out32(0x00000008, 0x01010101);
Data1 = Xil_In32(0x00000000);
xil_printf("0x00000000: %x\n\r", Data1);
Data2 = Xil_In32(0x00000008);
xil_printf("0x00000008: %x\n\r", Data2);
FPGA上の結果は下記のようになりました。
0x00000000: 10101010
0x00000008: 1010101
一応書き込めてそうです。
この状態で、AXI_MASTERの自作IPから
アドレス0x00000000をリードしても、0x00000000しか読めないんです。
難しいお。 最近Microblaze触ってみてるんですけど、ipのウィザードでFPUのユニットのチェックを外して合成してもfloatの演算が出来てしまうようなんですが何故でしょうか? 下記がわからないので教えて下さい。
https://www.linkedin.com/pulse/how-access-memory-from-pl-using-axi-master-zynq-jamakhandi/?published=t
0番地に0x1234をライトしているのに
リードしたデータvalue1=1になっているのはなぜですか?
#include <stdio.h>
#include "platform.h"
#include "Xil_io.h"
#include "xil_cache.h"
void delay()
{
int i;
for(i=0;i<10000000;i++);
}
int main()
{
u32 RegValueRead;
Xil_DCacheDisable();
//Write to the memory and read the memory
Xil_Out32(0x0,0x1234);
Xil_Out32(0x4,0x5678);
// Enable the GPIO to write to the memory
Xil_Out32(0xA0000000,0x1);
Xil_Out32(0xA0000000,0x0);
xil_printf("Read the data written by AXI block after enabling it\n\r");
RegValueRead=Xil_In32(0x0);
xil_printf("value1 = %x\n\r", RegValueRead);
RegValueRead= Xil_In32(0x4);
xil_printf("value2 = %x\n\r", RegValueRead);
RegValueRead=Xil_In32(0x8);
xil_printf("value3 = %x\n\r", RegValueRead);
cleanup_platform();
return 0;
} >>643
それは、オレ様に年収2000万円嫁つき一戸建てをFPGAで用意できないからじゃないか >>627
PLからDDR読めなかったんだけど、
読めるようになりました。
VIVADOのロジアナでAXIバスの波形を確認しながら
進めたら読めました。 >>644
FPGA屋は年収240万がいいところだろ、諦めよう >>648
そうすればFPGAを開発をさせ何もしない奴に、お前のせいだとかお前がダメなせいだとか理不尽な事言われずに過ごせるじゃないか。
でも、年収2000万円の嫁つき一戸建てが来ない 何もしないのかな。人に実務を差配する、という仕事をしてるような。
不満があるなら、自分がそれをやればいい、っていつも言われている通りで。 >>650
再配する実務が、物量に比べ納期やばいのが多い
給与あがらない
という妄想にとらわれる 差配するばかりで、実務やる人が少なすぎて困る
もう、年収2000万円嫁つき一戸建てをゲットして、このブラック業界から足を洗いたい 田舎行けば嫁付き一戸建て貰えるんじゃね?
農業手伝わなきゃだけど。 田舎でも年収200〜300万なんて相手にしないうえにFPGAどころか開発の仕事もないぞ 発注元との距離で不利になるのは、だんだん変わるのでは。
WEB打合せとか増えてきてるし。 気がついたら派遣に落ちてて、見込み残業ありで、大手に派遣されとった そんとき年収350か
これやったら、どこでもええから派遣会社行けばええやんで年収100あがった
高崎に引越たら、FPGA技術者だというとるのに、OrCADオペレーターやらされて、引越翌日に派遣解除された。
長野県で信号処理って書いてあったから行ったら、ASIC開発 ほぼ一人w 半年間でフロントエンド設計を終えて 一発動作させたw。給与対してあがらないしワイを低賃金でこき使った大手派遣先やった会社がテスター請負とかで出てきて、それワイを低賃金でこき使った会社の先のエンジニアがやるんやん。関わりたくねえ
逃げるように、新横浜あたりの仕事ないかなと転職活動して引っかかった。ビックリするほどコスト間の合わない請負仕事で。精神病んでやめた。
もうね。何が何だか。
優秀になればなるほど、一人開発になるの何とかならんのかほんま
だから、FPGA技術者が、年収2000万円ぐらいと嫁と一戸建てが貰えるような世の中にしたい
けども、俺にはムリだーー レーダーチャートでまんべんなくポイントを取れず、その一方でどこかが尖ってる人にはプラスの自己評価ゆえに余計にしんどい世の中なんだろな。 >>660
そういうことをやってるから日本は沈没するのだよな。
ソフトウェア開発だけど、大手メーカーの発注から精々孫請けぐらいで請けないとおかしなことになると思うわ。
優秀な人だと短納期で開発できるけど、短納期だと工数見積もりも自然と小さくなっちゃうから(納期と実装難易度は異なるから本当はおかしいのは重々承知)
実際はやってるフリで複数請けて並列化して優秀な分だけ稼ぐとかしたらいいのかな。
でも、開発は並列化すると脳が簡単に限界を迎えて効率駄々下がりなのが難しい。 100mのランナーの賞金が、いい記録が出るほどに下がってたらダメだろね。
1人秒ナンボですわ。みたいな。 発注元の評価基準が腐ってて、全員同じ能力だと仮定して時間いくら、でしか測れないのが問題だろうな。
ここを変えないと本当に日本のものづくりはどんどんダメになって終わる。
まあもう取り返しがつかないレベルな気がしないでもないけど >発注元の評価基準が腐ってて、全員同じ能力だと仮定して時間いくら、でしか測れないのが問題だろうな。
そんなところばかりではない、という印象だけど、印象はおつきあいしている業者さんで変わるだろね。 自分はラッキーなことに月単価100万以上で出して複数案件平行しててもゆるされたりするんで環境が恵まれてるんだとだと思うけど、
大きいとこが中抜きしたりするとそうはいかないだろうな。 時間で計る日本の労働環境の問題でしょう
凡人が8hで終わる仕事を6hで片付けて帰っても本来は同額支払われないとおかしい
能率向上だの改善だの吠える会社は少なくないがこういうところにメスを入れるところはまず無いし 凡人が8hで終わる仕事を6hで片付けて、残りの時間でほかの仕事に手をつけられる人に報酬で応えられない組織ってどれぐらいあるんだろう。
もし、応えない組織があたりまえのように存在するなら、転職が難しい社会において将来を人質にしているから成立するわけで
個々の会社組織の問題というより、日本の構造的な問題なんじゃないかな。
よほど突き抜けた人なら、いつでもどこでも好きなところへ行けるだろうけれど、通常レベルの有能な人は、待遇に不満をかかえて転職を繰り返したら
没落するリスクが高い。それを見越して雇う方も報酬を低く抑えることができるよ。 6hで片付けて2h遊んでればいいだけだろ。5chでもやって。 >>669
8hの仕事を10hかかる人の手伝いをしてるんじゃないかな >>669
>通常レベルの有能な人は、待遇に不満をかかえて転職を繰り返したら
>没落するリスクが高い。それを見越して雇う方も報酬を低く抑えることができるよ。
でもそういう使い方をしていたら従業員の忠誠は上がらないし、本気は出してくれないし
困ったときにも助けてくれないし、日本の利益にも貢献しない
てか潰れたり買収されたりする大企業ってこのパターン多いような
リストラで出来るヤツから辞めていって最終的に無能イエスマンが残ってアボーン 60FPSで液晶を描画する機器から、液晶の信号(RGBと同期信号)を取り出して
HDMI(60FPS)でモニターに写したいのですが、FPGA内のBRAMでフレームバッファを
つくろうと考えています。
この場合、入力 出力とも60FPSですがタイミングは微妙に違うと思うのですが
・シングルバッファ
・ダブルバッファ
・トリプルバッファ
のどれでフレームバッファをつくろうか悩んでいます。
やっぱりトリプルがベストでしょうか。逆にシングルではだめでしょうか。 トリプルバッファって、3フレーム分貯めるってこと?
そんなにブロックRAMある?SD? >>673
トリプルでの 垂直同期ブランク期間切替理想
ダブルの場合は、水平同期ブランク期間
シングルの場合は、ぢゅあるぽーとめもりでいつも切り替える
動画だと後半に行くにしたがって目立つよ 入力と出力の仕様から、タイミングチャートと切替タイミングを検討しないとわからないよ。 >>673
そんなのフレームレートと伝送仕様によるんじゃないの
ソースのフレームレートがTMDS使用可能な範囲で
走査方向も同じなら1フレーム未満のバッファでも実装出来るかもしれないし >>674
はい、そうなんです。ソースの画像の解像度が低いので 足りることは確認済みです。
>>675
なるほど。この方向で実装しようと思いますう。
ありがとうございました。
>>676
すいません
>>677
ありがとうございます。
ちょっと考えてみます。 hdmiて最小解像度が640x480の60pなのと、モニタはedidに書かれてる解像度しか受け付けないから、映るフォーマットに乗せて信号出す必要があるよ
640x480のフレーム中に、320x200をはめて黒縁で映すとかでなんとかなる edidは、見ないで出してもいいけど、映るかどうかはわからない
認証取るならedid見ないと駄目
60pのsinkで必須になってる640x480と720x480であれば、決め打ちで出してもまず映るから安全牌 Audioサポートが必須要件に入ってるんで、認証うんぬん・・ は疑問
(まぁ、勢い余って出た言葉、その程度の事だとは思うが)
それ以外は、 jNF/Ib0u の言い分は正しい
Audio対応=必須要件 => なければ 門前払い、 という事のようだ
* Audioサポートの例外規定 というのも存在するが、詳しく知りたければ Specification でも読んでくれ
Type-B を使って、個別に契約、 その他・・・ とか書いてるよ HDMIコネクタを有する装置全てが認証を取っているのか問題 hdmi1.4bのsourceでは、オーディオは必須じゃないよ
機器に他のオーディオ出力端子がついてるときは、hdmi端子からも音を出さないと、認証でfailになる
他のオーディオ出力端子がついてなければ、hdmiから音出なくても認証は通せる
cts1.4bの7.6読んでみそ
規約上、hdmiの認証取ってないと、市販できないし、hdmiの名称も使えない 何で認証の話が出てくんの?
技適警察と同じ思考だろ。 ええと、質問する相手の立場に立って考えられない技術的思考で、親切心でこういう問題もあるかもしれないと考えて発言したうえでそういった言葉が出てきたと考えます。
匠のエンジニアならば、考えてもいなかったご指摘ありがとうございます。
しかしながら、実際に考えていらっしゃる方はそれ以前のレベルなので生暖かいドラえもんのような目で見守って頂いた上で、僭越ながらわたくしめにFPGAで年収2000万円嫁つき一戸建てをご用意いただければ相手にしたいかなと感じております。 ボキュは、FPGAで年収2000万円嫁つき一戸建て認証制度を立ち上げるので、皆様認証を突破出来るよう頑張ってください。 HDMIは民生機器も|が対象だから結構縛りがきつい
商人もらうにしても有料だし 金出したくないから採用先延ばししてたメーカーも
DVIやDPとはちょっと世界が違う DVI で出してユーザーが勝手にHDMIに変換すればいい。 HDMIに使うIC自体にライセンス料が付加されてなかったっけ?
そっち方面は詳しくないんだけど 最終製品がライセンス料を払う必要がある、っていうけど、最終製品がいまいち納得できない。
かたい話をすれば、ラズパイ組み込み製品もHDMIを使ってるからライセンス料が発生するとか言うよね。 HDMIは関連特許や技術の使用権が有料
adopter加盟して製品毎に認証を取って、最終製品の出荷1台あたり$0.15のロイヤリティを払わないと、後で訴えられる可能性がある
adopterリストが公開されてて、そこに登録されないで製品売ってるメーカーは全部違反してる
今の所、訴訟起こされた事例は無いけど、いつか本気出す時が来る
国内メーカーでもやってるところがあるので、どうなるか興味深い
DVIで出力して外部で変換するのは、よい回避方法
お店で市販するような形で出荷するのが最終製品
HDMIつきグラボを組み込んだPCを市販する時、ライセンス料はグラボの製造元が払ってるので、PCメーカーは払わないでいい ラズパイは払っているだろうが中華SBCは払ってなさそう ラズパイはちゃんとadopter登録してるからロイヤリティ払ってる
中華メーカーでもちゃんとやってる所は登録してロイヤリティ払ってる
登録数だけで見ると、もう日本からの登録数を追い抜いた usbもそうだけどたかがインターフェースで
金取ろうなんてやめて欲しいよな というか、勝者になれば取れるわけで、それを狙ってインターフェースを作るよね。
USBのVendor IDなんて初期の頃は$200だったのに、今は$5,000だっけか。サブライセンスしてくれるデバイスを使えるならそれでいいわけだけど。
Bluetooth は最初のころは無料で登録できたのに、今は$8,000だっけか。キットです、みたいな言い訳けでもしないと辛い。 DVIやDPと違って、PC以外にテレビ・ビデオ機器といった民生機器との接続も保証する企画なんで
アイショーガーとかは許されない世界になってて、責任とあちこちからお金取れるってとこから
やめられない商売なんだろう 認証の有無より取っていないと権利者のごとく騒ぐ自称警察の方が問題じゃね? >>701
彼らの行動の何が論理的に問題があるんだっけか。 自称警察の餌食になったときに、正規の訴えがあれば検討する、といえるか。
裁くのはお前らではなく、司法なり、元の権利者だ、と言えるか。
やってるのは俺だけじゃない、司法や元の権利者から訴えられたときに、処罰の公平性を盾にできるか。(ライセンスに関わる
民事だと盾にできなさそう)
炎上すれば面白がるにわか取り巻き(要するに集団イジメのネタに飢えた普通の人たち)が集団で叩きにくるわけだろうけど、
そういう人たちは、飽きるか餌食がつぶれるまで容赦はしないしね。この場合、自称警察よりも問題なのは、その他大勢じゃないかな。
彼らは
(他人を圧迫する罪÷大勢=自分の罪)≒ゼロ
(自分の罪)<(不届き者を叩くことによる世直しという善行の価値)
って信じてる。 正義のためなら何をしてもかまわないという、
スレイヤーズのリナ・インバースみたいな思考が問題なんだろ >>702
私刑は違法、誹謗中傷も違法
自らは身を隠し己の正義のみをよりどころに他人を叩くなど正当化できる余地など無い >>705
そうなんだよな。
だから「彼らは正規のライセンスを取得していないぞ」が事実であれば、
それだけならを叩くことにもならず私刑にも誹謗中傷にもあたらない。 事実の提示のあと、感情的にエスカレートしたときに(ここでもよくあるような)想像で色付けして誹謗中傷に至る叩きが
目立つようになる。問題になるのはそこからだと思う。 国際的にはビジネス上の障害になったりしなければ黙認されるのは良くある話だし
「ライセンス違反=重大な問題=炎上」とは限らない
またこれらは権利者が判断すべき事であって第三者が口出しするところではない
あとライセンスなんて知った事かの海外製アイテム放置で、国内製アイテムと
その作者を叩いたところで日本の利益にはならない >>708
>「ライセンス違反=重大な問題=炎上」とは限らない
確かに。重大な問題だから炎上するわけでもなく、面白がる大衆心理が炎上をつくり上げてることが多いと思う。
それとライセンス費を払った人から見ればライセンス費を払わずにのうのうとやってる人は許しにくい存在なんだろね。
今のところ国内製っていうだけで信仰する人がいるわけで、海外製に怪しいものがあってもこの際関係がないだろう。
集団リンチにも似たような炎上や叩きはあちこちであるけれど、かばう側が少ないのは、見て見ぬふりって
小学校のいじめと何も変わっていない。たぶんそこも問題なんだろう。 XAPP495 (Spartan6用のDVI入出力のサンプル)を7シリーズ向けに少し編集して動作させています。
DVI出力をPC用モニターに接続すると、どのモニターでも期待通りに表示するのですが、
家庭用テレビでは映るモニター、映らないモニターがあります。
DCD(モニター内のEDIDの読み出し機能)は一切実装していないので、それが原因か疑っているのですが
他に可能性があればヒントをいただけると助かります。 DVIだってDだのIだの色々あるだろ
ちゃんと対応してるのか? >>712
>>713
説明がありませんでしたが、HDMIケーブルで接続しています。
SCL、SDA は4.7kオームでプルアップしているだけです。
パナソニックのテレビは映るのですがシャープ製が映りません。 HDMIと違ってDVIは家庭用機器での動作保証はされてない
最初のネゴでしくじってるかそもそもモニターからなんも送られてないかも >>711
出力してる画面モードは?(例: 1920x1080, 60i, YCbCr)
HDMI規格で映せる事が必須になっているDVI互換モードは、720x480/60p or 720x576/50pのRGBだけ
それ以外のPC系の解像度は規格上必須じゃないので、映らなくてもおかしくない
どの画面モードの信号を受け付けるかは、EDIDに書いてある
DVI互換モード以外だと、RGB→YCbCr変換はできる事が多いので、1280x720/60p/RGBが映る可能性が高い sinkのedidはただアクセスすればいいとかじゃなくて、そのsinkが受け付けられる画面モードを教えてくれる仕組み
edidを解釈して、映るモードの信号を出すのがsourceの義務
pcでedidを読み出してくれるツールがあるので、映らない家庭用テレビをpcにつないで、edid解析してみるといい >>715
>>716
>>717
コメントありがとうございます。
今日、一日いろいろやってみたのですが解決しました。
ほんと申し訳ないのですが、原因はHDMIコネクタの18番ピン(+5V)がつながっていないことでした。
ソース側からシンク側に5Vが供給されておらず、それが原因でモニター側がソースが接続されていることを認識できていなかったような気がします。
5Vを接続すれば、EDIDデータを読み出すことを省略して720Pの信号を送りつけても画像が映ることを確認できました。
シャープ、東芝 など試しましたが全部いけました。たいへん失礼しました・・・・・・ とりあえずおめでとう。
結局面倒なことは必要ないってことだな。 例えばラズパイのHDMI出力をLVDSの1k LCDパネルに映したいのでこの変換部を
FPGAで作る場合どのくらいのFPGAが必要になりますか? hdmi→lvdsの変換チップ買うだけ
fpgaでやるのはお金と時間の無駄 本当にraspiならdpiあるから、cmos→lvds変換チップだけでいい >>722
DigiKey等の一般的な販路で入手可能かつ動作に必要な技術資料や
ツール類も容易に入手可能な変換チップってある?
“HDMI LVDS”でググると思いっきりそれ用のADV7613というチップが
上位に引っかかるけどこれはDigiKeyにもmouserにも売っていないようです
>>721,723
そこは汎用性重視でHDMIから変換したいです >>724
HDMIのライセンシーじゃないと買えないのですかね? HDMIの音声パケットの作り方、詳しく書かれてタダで入手できるもの、知りませんか。FPGAからDVIで画面に出力出来るようになりましたが折角なので音声も、と思ってます。 >>725
えぇ・・
>>726
公式からダウンロードできなかったっけ・・・と思っていって見たらなんか入力を求められる.。昔からだっけ?
公式pdfのミラーは転がっているみたいだけどそれでは不足なのかな hdmiはオープン規格じゃないから、年会費払ってadopter登録しないと、正式な物は何も手に入らないよ
デバイスも特許技術を実装してるから、会員以外には売ってはいけないことになってる
中華とかで手に入るけど規約的には違反
どこに何個売ったかわからないとロイヤリティ徴収できないからね
hdmi警察で言ってるんじゃなくて、hdmiの規約に、違反したら訴えるから覚えとけ、と書いてある(あった >>726
High-Definition Multimedia Interface Specification Version 1.3 (pdf)
↑ネットで探せば簡単に見つかる、
これに、作るために必要十分な情報量が書いてある
コレ見て作れないようなら、諦るしかない
言っとくが、"たかがAudio 楽勝だろう" と思ってるなら、その逆
Videoをより遥かに規模がデカいから >>729
映像よりも音声のほうが遥かに難しいですよね。
ところでARTY のARTIX7基板い接続できるHDMIコネクタ付きの小基板ないかなぁ?
作ろうかなぁ? > HDMIコネクタ付き・・・
aitendo に、そこそこ置いてある
それが要求に合うモノかどうかは、本人以外に判断しようが無いが
一度、覗いてみると良い >>728
> デバイスも特許技術を実装してるから、会員以外には売ってはいけないことになってる
ADV7511とかChip 1 Stopで普通に買えるけどね
https://www.chip1stop.com/view/dispDetail/DispDetail?partId=AD01-0064465&mpn=ADV7511KSTZ-P&keyword=adv7511 それは>>728が間違っているのか、それともADV7511とやらが特許権を侵害しているのか…? デバイス買うのダメならテレビ買ってる奴ほぼアウトやな。 自宅でテレビを見るためには、NHKの受信料だけではなく、HDMIのライセンス料も支払えってことですかね >>733
DVIで設計してDVI機能だけ使えば問題ない >>733
本当は駄目。正規代理店だとadopter以外には売れない。chip1はただの販売店だから緩い
>>735
テレビの製造元がhdmi加盟してロイヤリティ払ってる
テレビの販売価格にロイヤリティが含まれてる HDMIのないテレビは少し安く買える(はず)ってことでしょうか hdmiロゴついててhdcp対応してる製品のロイヤリティは$0.04な
デバイスコスト+5円安いけどhdmi付いてないテレビ買う?
外部入力はコンポジットだけ、ps5も繋がらんけど うちはテレビでHDMI使ってないんで、5円ほどでも安い方を選ぶよ >>74
コンポーネントじゃなくてコンポジット?VHSでもつなぐの?
HDMIの代わりにDVIやディスプレイポートのほうがいいな。 HDMIの映像信号(1080P)を外部メモリ(DDR3)のフレームバッファに取り込んで、90度回転して HDMIで出力することを考えています。
(90度回転すると画面からはみ出るので、実際には縮小させるつもりです)
フレームバッファへの取り込みは受信した順番でメモリに書くので問題ないのですが、それを90度回転した状態で出力するとなると、フレームバッファから読み出すアドレスが飛び飛びになるため、ランダムアクセスようのようにり、時間的に間に合うのかなぁ?と考えているのですがよくわからなくってきました。
大まからなところで構わないので、実装方法についてヒントいただけると助かります。 その方法以上に効率的なアルゴリズムはないと思うから、
出来ないならFPGAの能力不足でできないんじゃないかな。
まずは左上100x100pxとかだけ処理して出来るかどうか試してみて、どんどん対象範囲を広げていったら間に合わなくてできないのかわかるのでは。 お金があるなら高速SRAMを使う。今のご時世高速SRAMもバーストアクセス前提だけどそれでも
セットアップ時間はDDR3の1/3以下でありランダムアクセス時のペナルティをかなり削減できる
どのようなアルゴリズムを使うにしろ効率的に処理するためにはある程度の容量のランダムアクセスに強い
ローカルバッファは必須だと思う >>746
コメントありがとうございます。
解決できるようなアルゴリズムがない件、了解です。
実力を知る意味でも小さな画像の回転を試してみます。 >>748
なるほど、高速SRAMのほうが確かに有利そうですね。
Cypressが持っていた記憶があるので、いいのがないか調べてみます。
ありがとうございました。助かりました。 >>749
書き込み真に受けないでアルゴリズム考えろよ。
縮小必要なら先に縮小すればデータ量は減るし
回転に適したデータ保存方法とか考えろ。
FullHDくらいなら全然間に合うけどな。 ___ クルッ…
/ || ̄ ̄|| <⌒ヽ ))
| ||__|| < 丿
| ̄ ̄\三⊂/ ̄ ̄ ̄/
| | ( ./ / >>745
>フレームバッファへの取り込みは受信した順番でメモリに書くので問題ない
いや、問題あるよ。
RGB形式なら1画素に対し1組のRGBデータが1対1で対応しているんだろうけど、
HDMIの映像信号(1080P)とあるから、
たぶんYUVとかYCbCrなどと呼ばれる輝度・色差信号形式だろう。
この場合、色差信号のデータは間引かれている(4:2:2とか4:2:0とか4:1:1)のが普通だから、
90度回転させた映像を出力させるには
単に読み出しアドレスの順番変更だけでは対処できないよ。
メモリに書き込む際、データ内挿して4:4:4にする必要があるだろうな。
ほかにも方法はあるかもしれないが。
DRAM・SRAMのスピード云々よりも、こっちの問題のほうが先だね。 なんとも、バカバカしい主張だな
> All HDMI Sources and Sinks shall be capable of supporting RGB 4:4:4 pixel encoding.
と規定されてるんだから、 "RGB 4:4:4" を選べばいいだけの話
自分の薄っぺらい知識が普通だと思ってる処が、井の中の蛙 >>756
最初の行と最後の行は必要?
議論じゃなくて罵倒がしたいのか?
せっかくの正しい情報が霞んで、煽りだけが増幅される書き方だな 1kWの塗料缶みたいなダミーロード買おうか悩んでるんだが、
油冷ダミーロードの欠点がどんなものか知ってる奴がいたら教えてくれないだろうか
https://www.ebay.com/itm/MFJ-250X-Dummy-Load-Can-without-Oil/111802128340?epid=1339622829&hash=item1a07ed17d4:g:42EAAOSw9r1V9wDr と思ったら、自作してる奴がいるんだな
俺も作ろうかしら
http://www.ucatv.ne.jp/~snozawa/ham/dummyload/ 1920px×1080px×15ns=31,104,000ns≒31ms
それなりに節約する必要がありそうだね 深夜にジョリーパスタに行ったら、深夜料をとられたので。
安倍政権は年収2000万円嫁つき一戸建てを支給してから退陣しろ。
もしくは、FPGAで年収2000万円嫁つき一戸建てを支給できる企業に就職する >>760 誤爆にレスするのもなんだが
30年前の知識だがローカルが入れる油の入手が面倒といってた。
サラダ油でもいいようだが、ガソリンスタンドに相談してたら一斗缶でかってた。 >>765
MonotaRO で 絶縁油 を検索だ 個人的には、年収2000万円嫁なし一戸建てを希望… >>763
1080P映像だと1画面の構成が水平2200クロック×垂直1125ライン期間の中に
有効画素が水平1920ピクセル×垂直1080ライン。これが1秒間に59.94枚。
ドットクロック周波数は148.352MHzで、1クロックは6.7nsだね
※テレビ映像の場合。PC映像では1秒間60枚で周波数は148.5MHzね AXI-VDMA の使い方 難しいですね。
試行錯誤してみないとうまく行かなさそう。。。 >>766
今だと簡単に手に入れることができそそうですね。
確かGSに頼んでから半年ぐらいかかってたと思う。 回路設計飽きてきたから久しぶりに仕事でRTL書きたい。
が、今の時代自前で作らせてくれない。アートワークとFPGAは外注するものとして定着してきた感がある。
次は回路設計まるごと外注だな。
海外ODMに投げた成果物のチェック、指示と社内フローを進めるためのエビデンスを整備するのが日本人の仕事。。。 AMDがXILINX買収で協議だって?
FPGAはCPU屋に食われるのか…… IntelはAltera食って何かいいことあったんだろうか。 ・用途はマイコン周辺のグルーロジック
・回路規模は74シリーズ等のロジックICで実装できる程度
最低限必要なのはロジックIC 2個程度
マイコン内蔵のタイマでパルス幅を測定したり波形を生成したりするのでその部分も出せるとなお良い
・I/O電圧は3.3V
・自分はFPGA未経験(よく判っていない)
ICを複数個使うのをFPGAにワンチップ化したら入力容量や伝播遅延軽減できるかなと思ったんだけどそうは問屋は下ろさないかな?
Latticeの安いのだとワンコイン以下からあるので気になっています。用途が用途なので高額なチップは不可です
FPGA無くても回路組んで気軽にシミュレーションできるツールとかないですかね >>779
なるほど。CPLDという手もあるのか。しかしググっても古い情報ばかりのような
CPLDも同様に使ったことないです。小さいCPLDでマクロセル32?64?どのくらい入るんだろ
ロジックIC 2個くらいなら当然入るだろうけどそれじゃ本当に入力容量くらいしかメリットが無くなってしまうし CPLD と FPGA の違いって何?
メーカーがどう言うかの違いだけじゃね?
CPLD は(電源投入時の)コンフィグ要らないやつかと思ってたけど Flash 内蔵 FPGA もあるし
CPLD はグローバルクロックだけとかでもないし。
MAX シリーズは CPLD だったのに FPGA 言い出すし。 小規模ならLatticeのXO2あたりしか思いつかない。
Xilinxはもう放棄に近いし、AlteraもIntelになってから大規模に寄ってる感じだし。
>>778の質問に合うようなおすすめが他にあったら俺も知りたい。 >>778
丁度似たような感じで、CPLD始めました。
MAX2に48bitシフトレジスタやカウンタ突っ込んでみてます。
目的は小型化なので速度的な事は考えてないです。 入手性と開発環境で考えるならMAX10が最適な気がする
初心者向けの本もいくつかあるし 最近はzynqしか使ってない。
vivadoはかなり重いよね。
もう麻痺したけど。
ALTERAは軽いのかな xilinxでCPLDだとISE使わないとだね。
intel(altera)のMAXシリーズかLatticeの方がいいのかな。 規模=TTL数個程度 & 価格=ワン・コイン とかの条件なら、もう絞られるよな
ところで Laticeって、そこまで安いの出してたか? PICのCLCやNXPのSCTimerじゃ無理なの? FPGA未経験だと下手に安いの買うとハマって時間かかるから最初は学習費込みで1万円くらい出したほうが良いと思うけどね
Latticeなんか買ったらまともに使えるようになるまで一年くらいかかりそう 「まともに」ってどんなことなんだろう。人によって解釈がすごく幅がある。
グルーロジックからスタートするならHDLの記述(ここはメーカーに関係ないしね)ができれば、
Lattice固有で特別余計に時間はかかるわけではないと思う。 cycloneボード
とプログラマ、amazonnでもチープなの売ってるな
合わせても3000しない
最近は雑誌付録でボード付くの減った? >>793
そんな話あったっけ、って思ったらそういうニュースが本当にあったんだね。
https://eetimes.jp/ee/articles/2010/12/news057.html
確かに買収されたら、ラインナップは整理されてしまいそう。
最近、MicrochipからFPGAのWEBINERの案内が来てた。Actel復活?
>>794
本当だ! というか、Digikeyで部品で買うよりずっと安いね。 >>794
Amazonで売ってるサイクロンは古いから最近のバージョンは対応してないため古いの入れる必要があるのと500円のライタはパソコンによってブルースクリーンになる。
DE10-Liteがオススメ > DE10-Liteがオススメ
数種類持ってるけど オレも同意見、コスパに優れてる
次はコレ、DE0-CV Board
DE10-Liteに比べると価格は難だが、その分外部端子の類が充実
Xilinxは 総じて高い
此方も何個か持ってるけど、もう 改めて試してみようとは思わない vivadoも6までは切ったしな
しかし最近のツール容量でかすぎ
重いし
古いバージョン不具合なければ
使い続けるのもありかな 小規模cpldはlatticeが市場制覇したから、iもxもほぼ撤退した
32マクロセルあれば十分だろうから、mach4000vが最適解
スピードも74シリーズよりかなり速いよ CPUメーカーに買収されると、高いCPU売るためにFPGA開発ツールがどんどん重くなる lattice良いんだけど
安い奴、もうちょっとSerdesの速度上げて欲しい…
intelがalteraを買収した時は
空いたファブの稼働率を上げる為に
ちょっと安くなるかも?と期待したけど
単にCPU並みに高く売れるICとしての価値しか考えてなかったんだな…的な… quartusが一番使いやすいからintel覇権取ってくれよ
vivadoはプロジェクト開くまでに数分かかるって頭おかしいだろ まだ5Vロジック使ってるからMAX7000Sシリーズは残して欲しいゾヨ >>805
開発するときフィッタの違いなんてどうでもいいんじゃないのか?
それより未だにModelsim の機能制限版なんかをバンドルしてたり、
SystemVerilog対応が遅かったり
Alteraは社内ユーザのIntel様対応だけで、社外ユーザはほったらかし
ViVadの方がはるかに好感がもてる modelsimのほうがいいよ
vivado付属は使いづらい
素人に毛が生えた位の俺はどうせ小物しか動かさないし >>808
何年も前に生産終わってるでしょ
入手も無理だから、目の前の部品箱のが最後 5Vは今更使わんな
どうしてもなら外でなんとかするな
最近のだとI/O3.3Vでも高いときがあるから割り当て悩んだりする FPGAは近いうちに商用システムに採用されなくなると予想
もうすでに計算用途からFPGAは排除されてる
CLPDのようなグルーロジック用途は残る FPGAビジネスに先が見えて寺も罪も身売りした
半導体の進歩に限界見えてアジが分社、テクトロ買収みたいなもんかな?
もーダメかもわからんね
どーんといこうや >>812
必要なときはvoltage doubler回路で自前で3.3Vから作る? 実行中、電源オン毎、数カ月の製品毎に回路構成を変えたいと言う用途がどれだけあるか、だろうね。
しかもGPGPUのプログラマブルシェーダー?とかを使うので追いつかないくらい柔軟に変更したい、という用途があるか 面白いことに、派遣を隠して派遣業をしているところで面接すると、相手側から逆ギレして「一ヶ月でやめてこれるでしょ」「君は無能だね」の言葉を引き出したこともある。半導体業界(今はFPGA)の某有名企業だが。ソニーと三菱に派遣して暴利をむさぼっているようだ。自らの技術で稼がず、取締役に落ち着き派遣奴隷商人として搾取できないと平気でそう言うことを言い出して来る人がこの業界。有名人に結構いますね。ああ情けない。
FPGAで年収3000万円ぐらい稼げる転職先ないかな? Intel は本国のエンジニアとのパスを末端のエンジニアまでつくらないと、あぼーんするよ
Xilinxも然り
Gowinは代理店が問題 >>815
それは90年代前半からずーっとそうでしょ AlteraはIntelになってから個人ユーザに優しくないよね。 Intelになってから、そんなに言うほど何か変わったっけ… EOLが早いのはAppleをリスペクトしてるんだよ >>822
本国の人間FIREしたんじゃね
元々ALTERAのセミナーとかやっていたのって何処だっけ vivado hlsの高位合成の質問です
2つの関数(共有データから値を読み取って処理して書き込む)をpragma dataflowで並列実行させようとしてるんですけど、vivado hlsの特性上データ共有ができないようで合成ができていません。
何かいい方法を知っている方いらっしゃいますか? >>827
axiが2つできちゃうからダメってことかなぁ?
一回キャッシュするとかでできないかなぁ >>828
キャッシュ使ってやってみる
ありがとう 高位合成で思うような論理合成できなかった場合
どーやって対処すんの?あきらめる?
もちろん元のソースが機能的に間違いないという前提で
ソフト的に間違いなくてもこーゆーのは動きませんとか一覧みたいなのあんの? 1)思うような合成をしてくれるようにソースを書き換える
2)あきらめる
3)べた書き >>830
機能的に問題なくても合成出来ないのはRTLで書いても同じだろ。 >>833
RTLなら機能云々じゃなくネットリスト相当に記述することも可能だろ
高位合成はそうはいかん FPGAで高収入の転職先を教えて下さいお願いしまうま >>837
サンノゼはちょっと
年収6000万円なら行くけど 6000万は余程能力が無いと無理だが、2〜3000万は普通に貰えるよ >>839
価値がわからないのはちょっと
サンノゼとかいう得体の知れない奴らの元で働かせるなら
年収6000万円で3年ぐらいなら働いてやってもいいな 年に 6000 万も払って雇った人材に、
FPGA での回路設計業務なんてさせたら、
色んな利害関係者からボロカスに叩かれそう 年6000万円が安いと思わせるくらいインフレが進んだ時代に雇えばいいんだよ >>843
利害関係者に自分でやらせればいいじゃん 給料だけ高い大手企業のシャチョウさんに自分でやってもらえばいいんでネーノ。カネ出せないんだろ? 普通に桁が1つ違うと思うんだけど、年600万円だよね?(今さら) >>847
それ、年間1週間だけ仕事をしてその金額ならやってやってもいいぞ >>847
年間フルに働かせてその金額なら、世の中の一般レベルのFPGAデザイナーが仕事をすればいいじゃん AMDはXilinxを買収すると発表した。
AMDは株式交換によって、Xilinxの全株式を350億ドル(約3兆6,574億円)相当で取得する。
買収は規制当局の承認を経て、2021年末までに完了する見込み。 FPGA周りはバカなのかな
サンノゼで6000万とか、、、コロナ不景気で今暴動起きて治安悪化してるのにな
金に目が眩んでいるようだとさ
アジア系の日本人など中国人と間違えられて銃で殺されるよ お前の言いっぷりでは死亡率100%近くになってそうだけど
実際は0.1%もないんじゃねーの? 働かせてもらうことばかり考えないで自分で稼げ。
まあ、稼げればこんなとこ来ないか。 >>857
オレは自分でやってるけど?
まあ趣味だし。
頼まれてやることもあるけど。 xilinx 純正のフラッシュrom(XCFシリーズ)がディスコンになってしまった。
代替え品が無さそうなので、そのフラッシュromを採用してる製品自体を生産中止にせざるを得ない状況になってしまった。
困ったなぁ… まともにFPGA開発ができる。
知ったかぶり上司がいない。
できる人に仕事を集中させ、到達不可能な目標を設定し、できないと文句を言い出すような事をそもそもせず、スケジュール管理がしっかりと出来ている。
派遣でない。
職場・転職先ってないですかね。 自分がその中に入れるとでも?
ゴミには用はないんだぜ? イスラム教徒にこっそり豚を食べさせてしまった時に比べれば、死ぬリスクはきっと低い 小学生のプログラミング教育というのが流行っているらしいから
小学生のFPGA(回路習得)教育というのもアリだろう プログラミングは環境が成熟してるから良いが、FPGAは今の環境を使わせるのかわいそうだ。RTLだってそのうちマシン語的な扱いになるだろうし。 C言語が出来てからもうすぐ50年。未だに使われてる。
ソフトは他の言語もたくさんあるのにHDLはVHDLとVerilogしかない。
むしろ、ソフトはノーコード開発が流行ってるからハードもコードを書かずに
機能モジュールを線でつなぐのはどうだろう?
幸い、ハードはFFとゲートがあれば回路を実現できるのだ。 >>873
VisualElite
Labview
でもやってろ > 機能モジュールを線でつなぐのはどうだろう?
箱と箱とを繋ぐだけの IT土方
オマエも含めた、このスレ住人の事だな 最近、元ルネサスななしっくす君のキチガイ余る行動が無くてつまらないな。壊れないオモチャだと思って、罵りあって遊んでいると面白かったのに。 >>873
Vivadoのblock designでip置いてauto connectぽちーでできたのは感動した。 おれ思うんだけど
プロジェクトマネージャーって
アニメの世界の制作・進行 やん
あれ、何でこの業界給与高くなんの?
一番下っ端の仕事でよくね?
FPGAやる人間の人数減りすぎて
仕様・設計・検証もろもろ一人でやってたのよ
転職したら、プロジェクトマネージャまでやれと言い出した挙げ句、納期短縮の為新人を教育せえといわれ
出来るわけねえやんつうか逆に納期伸びるやンもうねアボガド
でできねえと怒られるんだ。
なんの罰ゲームなのかわからんが、まじで鬱っぽくて
ワイはなんか精神科かなんかに駆け込んだらなおるンか
あるいはまともな会社(あんのか?)に転職したらなおるンか 最近はリッチなコードジェネレータやフレームワークなどのプラットフォームがエコシステムなどともてはやされているけど
簡単に作れるって事は誰でも作れるに他ならない。有用だと認識されれば簡単にパクられるし、アイデアと資金勝負になる
それって本当に日本の利益に貢献しているのだろうか。誰かに金儲けのネタを提供しているだけじゃないのかな
日本じゃ資金調達や迅速な立ち回りが難しいし世界レベルで競争になったら勝ち目無い ITサービスは技術力もさることながら
アイデアとスピードだからね。
メルカリに似たようなサイト作れたとしても後追いで儲けられるとは思えない。
LINE のメッセンジャーと同じのくらいお前らならすぐ作れるだろ。 >>880
昔は臺灣が良かったけど
今は臺灣行っても結局大陸で仕事させられるよな メルカリって転売厨と情弱の巣窟じゃね
日本の利益に貢献しているようにはちっとも見えない
転売厨とかちゃんと税金払っているのかな? スピード感あるのは大事だけど、スピード感重視で作ったシステムはでっち上げみたいな部分があって、
そのような技術的負債をどのようになくしながらシステムを成長させるかってことはかなり経験と知識がなければ出来ないことだから、
そうそう簡単に誰でも持続可能なサービスを作れるようにはならないと思うよ。
簡単なことしかやってないWeb屋とかはやばいと思うけど。 >>891
おおっあなたを年収1200万円で雇いたい。
代わりにやっておいて 明日からまた会社かよ嫌だ嫌だ嫌だ会社行きたくない会社行きたくない会社行きたくない会社行きたくない>>891に代わりに行ってもらいたい >>882
LINEは国内データセンターをまるごと自社で所有してネットワークやストレージ独自設計してる会社だよ。
国内では珍しいと思う。
データセンターを借りてる訳ではなくてカスタムしたデータセンターを丸ごと一台所有してる企業だ。
GoogleやAmazon以外にはあまりないと思うぞ。
簡単に作れると思ってるとだな、、、ムリだ。 YoコミゾひまわりKenjiさんという、激レジェさんがいるらすぃぞ〜 >>895
LINEなんて聞いてないだろ
おまえアタマ大丈夫か? お前らもっと仕事しろ
俺の仕事がなくなるくらい人工知能を発展させてみせろ、一刻も早く >>898
月給200万円程度出すなら仕事してやる 月給200万円程度 嫁付き温泉付き一戸建て住宅も提供できないクソがオレ様に仕事を頼むなよ XC9572XL PLCC 90度間違えて挿してしまったorz zynqボード、中古が安く出回ってるな
マイニング用だったらしい こないだまで1000円ちょいだったけど
この円安で高くなってる。 Linuxでオレ様の作った超スゴイIPをON/OFFしたいんやけど、最適なソリューションは、raspberryぱい?
なんかおかしくね on/offにどういうシーケンスが必要かによるのでは
簡単だったらarduinoで十分だし ラズパイでやりましたって内容は大抵はラズパイじゃなくても出来るし
ラズパイじゃないやり方の方が効率が良かったりエコだったりする ラズパイでDAP作りました(10年以上前のDAPより電気食い)とかな AMDがxilinx買ったのか・・・前にVantis売ってなかった?
やっぱり必要だったんじゃないかよう。 COVID-19のおかげで地元に帰らなくて済むのが嬉しい
あと3年ほど続け 何のことかと思ったら高位合成的なやつか
仕事で使う機会はなさそう 高位合成ってプロトタイプ用って印象
うごきゃいいみたいな 高位合成がいいって言う人って、HDLすら書けずに喚くよね
どうぞ、高位合成でお客様が望むサービスを実現させてください
その高位合成とやらでコードを書いて、実現させてください
って感じなんだが。 https://github.com/m-labs/nmigen
python でFPGA出来るから、どうぞどうぞAIにでもおつかいください
って感じだ >>901
おまえに出せるサラリーならせいぜい200万/年かなwww
口だけの雑魚は不要 Verilog や VHDL が実用的になってもう30年。
しかしそれ以外で真っ当に使える開発ツールがないのは致命的な気がする。
ソフトならPythonとかRustとか、その前だとJavaとかPerlとかあったのに、
ハードはずっとHDL。
まあ、ソフトでCも現役だけど。 >>929
お客さんに提供するんじゃなく
ソフト仕上げて、それが実機で動くかどうか自分の確認用に動かしてみるんだろ
ちょうど、アートワークの自動配線と一緒
チャンコロでもそのまま売り物にしようと思わんだろ。 >>930
Tensolflow とか Pytorch とか使えるの?
それ以前にNumPy使えるの? どういう製品(またはそのプロトタイプ)で使ってます? 名古屋のラーメン屋さん、大きい音を立ててすする行儀の悪いおっさん多すぎません? >>937
FPGAでLinuxを動かしてIO制御をすると気にならなくなるぉ INTELのNIOS2のCコーディングについてなんですけど、
独学である程度使えるレベルになるのって難しいですか?
FPGA処理メインでちょこっとマイコン載ってたら便利ってぐらいのことがしたくて、
2016年頃にCQ出版からでてるMAX10にNIOS2突っ込んで使うって本にそこそこ載ってたんで、
軽くまあいけるかと思ったんですけど、
結局NIOS2のCコーディングが上手くいかず、
期限があったのでRLマイコン追加してNIOS2代替えするという力技を使いました…
自分のCコーディングのレベルがH8,PIC,AVRくらいで割込やUART,i2c,PIO使って、ほぼstdio.hくらいしか使わずに書ける小規模でオールドスクールなやり方しかしたことがないところもあって、勘所が悪かったということもあるのですが、
CQ本の方にもINTEL公式の「NIOS2ソフトウェア開発ハンドブック」と「ペリフェラルIPユーザガイド」を参考にしろよってあったんですけど、
正直ルネサスとかと比べて内容がざっくりし過ぎてて、情報少なすぎない?って感じでした。
ネット検索してもポーリングでのprintfでuartに出力してるのとかLチカしてるのとか、
もしくはアルティマは結構一つの機能に絞った記事を何本も出しているのですが、
こっちもずばりがあれば良いのですが、無いとi2c受信をfifoに突っ込んでmsgdmaでsdramに格納してって、i2c使うだけでそんなに大層なこと必要ですか?って状態になって、
まあ実績あるなら別ですけど、動きませんよね…。そもそもCコーディングのハードル爆上げですから
で何が言いたいのかというと、FPGAにちょこっとマイコンというのは自分のニーズにあるのでFPGAマイコンは習得したいです。
ただ正直NIOS2のCコーディングをやっても物になるか微妙なのとNIOS2自体どうなのよ?(ここ5年くらいはCQ雑誌系で取り扱いが多かったので、
結構使えて情報も入手しやすいのかと勝手に思ったけど…)ってところと、逆にXilinxのMicroBlazeはというとNIOS2より情報少なそう、
じゃあArmかRISC-Vなら難易度は上がるがCコーディングについての情報は結構豊富とか考えたのですが、
どれがおすすめとかあれば教えて下さい。
NIOS2も時間かければいけなくはないと思うのですが、公式資料が微妙でAPIの記載はあるのですが、
マクロはヘッダーに記載されているのを検索で見つけて、察するレベルだったので、とりあえず動いていても確信がもてないというのと、
獲得できるものがNIOS2特化スキルだとすると費用対効果悪すぎないかとか…。
情報量が豊富過ぎるH8とか比べるの悪いのですが、NIOS2の場合、周りに詳しい人がいるか、代理店との付き合いがあるか、セミナー行くとかしないと厳しくない?って思ったもので >>940
某商社がサポートすればいいんだけど、ぶっちゃけワイもNIOS本欲しい FPGAの時点で費用対効果は悪いものだと割り切ってください… 返信どうも
>941
1次代理店から石買ってないし、ツールも無償の使ってるし、
まともなサポートは難しいかな…
>942
CPUと比較して費用対効果がという話ではなくて、
どうせソフトCPU(ARMは違うけど)プログラミングするならNIOS2より〇〇がとか、NIOS2のここが良いとか、
実際に使ってる人の肌感みたいな話が聞けたら助かります。 マイコンはマイコン、FPGAはFPGAで組んだほうが幸せになれそう。
XilinxのZynqもLinux動かすのが前提で全体が見えないし。最近はベアメタル情報も増えてきたけど。 NIOS2のJTAG-UARTでコンソールに「Hell・・・・」ってだ出すだけなのに
ブロックRAM32KBじゃ足りなくて40KBくらい必要だったかな
NIOS2のEclipseも実行できるまで手間取ったわ
マクニカのホームページ見ながら何とかできた 何処で躓いたのか解らないが、↓は同意だね
> 無いとi2c受信をfifoに突っ込んでmsgdmaでsdramに格納してって、i2c使うだけでそんなに大層なこと必要ですか?
DMAが出てくると身構えてしまうよな・
Linux走らせるのが前提だと、こうなっちゃうのも仕方ないが…
文脈からして、"チョッとした設定等でi2cを使いたいだけ" って事か思うが、もしそれなら
"Nios II - PIO を使用した I2C-Bus (2 ワイヤ)マスタの実装"
で十分だったんじゃないかな
i2cは速度が知れてるから ソフトI/Oでも十分だし、
それならどんなマイコンだって実現可能、で "C"の知識も最低限で十分 >>940
難しく考えすぎ
msgdmaはいらない、i2c_masterだけ置けばいい
ただしi2cのインターフェースはavalon_slaveにする
あとはembedded peripheral ip ug読め
そこにレジスタ、APIの説明とサンプルコードがある 加速度センサーで傾き取得して画像変換するやつ作った時は
面倒くさいからI2CもRTLで書いた。 http://fpga8801.seesaa.net/
ここのDE0-CVを使うやつはディスクエミュレーションにNios2使ってる。
参考になるかどうかは分からないけど。 無償のNios2 エコノミー、Micro Blaze MCSだと内蔵RAMだけでSDRAM使えないよね サンプルコードだけでSPIは簡単に動いたけどな
hdlは少し弄ったけど 仕事したくない仕事したくない仕事したくない仕事したくない仕事したくない仕事したくない仕事したくない仕事したくない
どうすれば仕事せずに生きていけるんだ教えてくれFPGA NIOS IIは圓山氏や小林氏の本を読めば最低限使えると思う
むしろavalon-stやDMAの簡単なチュートリアルがほしい 仕事したくない仕事したくない仕事したくない仕事したくない仕事したくない仕事したくない仕事したくない仕事したくない
仕事したくない仕事したくない仕事したくない仕事したくない仕事したくない仕事したくない仕事したくない仕事したくない
お前らもっと仕事しろ、俺が仕事をする余地を作るな リーダーが美人やイケメンだと無条件で信用してまう
外見て大事だよな どうせ理不尽にいじめられるなら好みの美人やイケメンに虐められるほうがいい
大して旨みが無いのに不細工やブスに理不尽に虐められる筋合いは無い
それこそ高待遇じゃないとやってられないよ 元ヤンならダチが助けてくれるだろうから部外者は余計な心配しなくていい(適当) 俺にいいぃぃぃぃ、仕事をおおおぉぉぉ、させるなああああぁぁぁぁぁあああ! AXI-VDMA の使い方がわかりやすい解説されているサイトってないでしょうか。 >>965
ご親切にありがとう。有効な検索ワード増えました chip1stopでcyclone V SoCが9,040円で売ってるけどお買い得?
110kLE, 2GB DDR3でこの値段はなかなか見ない気がするけどどこかに落とし穴がある?
https://www.chip1stop.com/product/detail?partId=ARRD-0000011 Arrowは前から激安のところだからな、 $73.92 になってるよ
これ、古いヤツだよな(Terasicのヤツと同じ物?)。 売れ残ったのかな?
HPS/FPGA 両側に1GBだから 悪くはないと思うが、 オレはいらん
しいて言えばI/Oが特殊ヘッダのみ、HDMIが付いていない
まぁ そんなところ >>970
うーん、微妙ですか…
もともと欲しかったZYBOに比べると大分安いと思ったのですが I/Oのピンヘッダは2.54mm じゃ無くても可、HDMIも無くてもかまわない
そう言う人なら十分だと思うよ、安いのは確かだし
オレは既に何種類か持ってる、 だからイラネとなった だけ >>973
この基板って特殊なコネクタしかないんですね
2.54mmを使おうと思ったら7000円の変換基板が必要と…
ご意見ありがとうございます
やっぱりZYBOにしときます Xi/Altera 共に、ARM 内臓のヤツは要注意な
この手のヤツは、 ボード上のDRAMは ARM側での使用を前提にしてるからな(Linuxを走らせる)
もし、FPGA側で使う事が主目的なら、イロイロと制約が付いてくる事になる
具体的に言うとレイテンシ、かなりデカイよ
用途によっては遅すぎて使えない => 無いも同然 だから たいていはhello worldで終わるので気がつかない。 だろうな
Zyboとか、メモリシステム一個だけだから 上の方で引き合いに出されてる Arrowの"SOCKIT" にも劣る
せいぜい 安物ARM-SBC以下の内蔵-ARMで、"hello world"出して終わりだな
* 内蔵-ARM: Pi-Zeroより上なのは確かだが…、精々その程度 Linux走らせてさ。
Etherでデータ受信してさ
自作IPに処理させてさ
Etherでデータ送信
するにはどーすんの
FPGAでLinux動きました。HelloWorldですどうだすげえだろ
のマスターベーション でしか売れないのか。給与べらぼうに高いくせに とはいえEBAZ4205は安いから弄ってみたい気が >EBAZ4205
マイニング用だったから腐るほど在庫あるんだろうな
専用アクセサリまで作られてて草 FPGAって古くなると開発環境の準備からして難しくなるような
マイコンなら古くてもコンパイラとマニュアルを用意できれば何とかなるけど XもAも結構古いバージョンの開発環境をダウンロードできるよな?
マイコンの方が古いコンパイラを入手できないのでは? マイコンは最強のbinutils/gccがあるじゃないか わかった、入手が難しい(ことがある)のはコンパイラじゃなくて書き込みツールだったわ >>983
ソフトがあれば開発できるのかよ。
FPGA使ったことある? むしろさ、なんで開発できないと思うわけ?
開発のやり方が分からないものを買ってから悩んでんの?
マイコンの方が、新しいものも古いものも開発のやり方がバラバラで調査に疲れるわ Lチカができりゃ大体のことはできる
とはいえ、たまに、main以外の関数を1つ作っただけで、プログラムが全く動かなくなったような経験もあるけど(マイコンの話) MATLAB HDL Coderを使ってる人っていますか?
宣伝文句だけみると全部Simulinkで書いて変換すればHDL部分は完成するってイメージもっちゃうんですが 使ったことないけど、どうせ他の高位合成と一緒で流行らないんじゃね そんなことよりお前らもっと仕事に励めよ
人工知能を搭載したハードウェアアクセラレータが全てを解決してくれる未来をみんなが待っているぞ >>992
生成できるブロックだけを使うと、きれいなHDLを吐く
それ以外は手間が増える 最初っからSimlinkで書かれているならともかく、
そうじゃないなら、数式からブロック図→HDL設計したほうが幸せだろう
https://www.mathworks.com/content/dam/mathworks/mathworks-dot-com/solutions/automotive/files/jp-expo-2013/image-processing-ip-core-using-hdl-coder.pdf
の18ページ
大手電機メーカーで扱えるのは、ねっく社
使えると言われて困ったのが、みかか社
何も知らないで聞いてきそうなのが、Fソ○ト社・各代理店
信号処理扱った事の無い、中小FPGA下請け
こんな所でせう >>996
担当者が素人ってことは新人研修かな
3か月で一応それっぽいものが作れたならOKという考えもあるかも?
大学の研究室なら重宝しそう このスレッドは1000を超えました。
新しいスレッドを立ててください。
life time: 248日 19時間 46分 24秒 5ちゃんねるの運営はプレミアム会員の皆さまに支えられています。
運営にご協力お願いいたします。
───────────────────
《プレミアム会員の主な特典》
★ 5ちゃんねる専用ブラウザからの広告除去
★ 5ちゃんねるの過去ログを取得
★ 書き込み規制の緩和
───────────────────
会員登録には個人情報は一切必要ありません。
月300円から匿名でご購入いただけます。
▼ プレミアム会員登録はこちら ▼
https://premium.5ch.net/
▼ 浪人ログインはこちら ▼
https://login.5ch.net/login.php レス数が1000を超えています。これ以上書き込みはできません。