X



トップページ電気・電子
1002コメント299KB

【FPGA/CPLD】 XILINX/ALTERA/Lattice/Actel #28

レス数が1000を超えています。これ以上書き込みはできません。
0003774ワット発電中さん
垢版 |
2020/03/28(土) 11:48:56.37ID:1vSCUnab
~~-~------~~~~~~---~~~~~~--~--~~----~-~-~~-~~~-~-~~-~~~----~~---~~--~-~-~---
~~~-~~~--~~------~--~~--~~--~~-~--~~------~~~-~~-~~-~~-~--~~~~--~--~~~--~--~
~~---~---~~-~-~-~~--~~---~-~~--~---~-~~-~-----~-~~~~~~~---~--~-~---~~~~~-~~~
~~-~-~~~~~~-~-~~-~-~~~~-~--~----~~~--~~--~----~-~-~-~~-~~~-~~~~---------~---
---~---~--~-~-~-~~-~-~-~------~~----~--~~~~~--~-~~~-~~-~-~~-~~~--~-~~~-~-~~~
~----~-~--~~~-~----~~~~-~~--~---~-~~~~~~~~~-~~~~---~---~--~---~--~--~-~~--~~
~-~--~~-~-~----~--~----~---~~~~~--~--~--~------~~-~~~--~~--~-~~~-~~~~~~~~-~~
-------~-~~---~~~~-~~-~-~-~~~~~~----~~-~--~~---~------~~~---~-~-~-~~~~-~~~~~
----~-~--~~~~~~~-~-~~~---~~~~--~---~-~~~~----~--~--~~-~--~~~-~-~-~-----~~~~-
~------~~-~--~-~-~~-~----~~-~~~~-~~~~~~---~-~--~-~~~~---~-~-~~---~-~~~--~~--
--~~-~-----~~~-~-~~----~-~---~~-~-~-~~-~~~-~-----~~-~~~~-----~-~~~-~-~~~-~~~
-~---~--~~~-~---~~--~~~~~~~~--~--~--~--~-~-~---~--~-~~~-~-~~--~--~~~~-~--~-~
---~~~--~~~~-~~~-~~~-~~---~--~~---~~-~~-~-----~~~~---~---~-~-~---~---~~~~-~~
--~~~~-~--~~~~-~~~~~--~--~---~-----~-~~~----~~~~~~~~~-~-~~~--~--~-----~~----
---~~-~--~--~--~~-~---~~~-~---~~---~~-~-~------~~~-~~~~-~~~-~~~-~~-~-~-~--~~
~~-~----~-~-~-~~--~-~~------~~~---~--~~~--~--~~-~-~----~~-~~~~~~~-~~~~---~~-
~~---~~~~--~~-~--~-~-~~-~~--~-~~--~---~-~---~-~~--~~~--~~--~~--~-~~-~---~~~-
--~-~-~---~--~~~--~-----~--~-~~~------~~-~~----~~-~~--~~--~~~-~~~-~~~~~~-~~~
~~~~~~-~-~-~~~~-~--~~~---~~------~~--~--~~-~--~~~-~-~~-~-~-~~---~--~~-~-----
~-~--~~~---~--~--~-~-~~~---~~-~-~~~-~-------~-~~~~~-~~~--~-~---~--~~-~--~~~~
~-~----~~-~~~-~----~---~~--~~--~-~-~-~--~-----~~~-~--~~-~-~~-~-~~~-~~-~~-~~~
~-~-----~--~~~~~---~~---~---~~--~~-~~~-~~~~~--~---~~~----~---~~~--~~-~~-~-~~
--~-~~~~-~----~~~~~~~--~-~-~-~-~-~~-~----~---~----~-~-~--~--~-~~-~-~-~~~~~-~
-~-~~~~~-~-~--~~~-~--~~~--~~--~----~-~-~~~~--~--~-~~~---~~---~-~-~~~-~---~--
~------~-~~--~~-~~-----~~-~~~~--~~~-~-----~-~--~-~----~~~~--~~~-~~~-~~~~~--~
-~-~-~~~------~~~-~~--~--~~-~-~~~~~--~------~---~~~~~---~~~~~--~-~----~~~-~~
~~~~-~~~~~-~---~----~---~~~--~~-~-~-~~-~----~-~--~~~~-~-~~-~-~~~---~~---~---
~-----~---~~~-~~~-~~~~----~~--~~~~~~~--~-~~--~~--~-~-~~~~-------~-----~-~~~~
---~-~----~~~~-~-~-~~-~~--------~~~-~---~~~--~----~~--~-~~~-~-~~-~~-~-~~~~~~
-~~~---~----~-~--~~---~---~~-~~--~-~~-~~~----~~--~~~~~~~-~~-~~-~-~--~-~--~-~
~~-~~-~~~--------~-~-~~~--~~--~~~---------~~-~-~----~~-~-~~~~--~~~~-~~-~~-~~
--~~--~~~---~~~~~-~~~-~-~--~~-~-~--~~-~--~~~~~-~----~--~-~-~~-~---~-~~~-----
~~~~~~~~-~~~-~-~--~-~~~--~~~--~~--~--~--~-~--~~-~-----~~~-~--~~----------~~~
-~--~-~-~-~~----~-----~---~~~~-~--~~--~~~~~~~-~~-~~~~---~~--~~~~---~-~~~----
~----~-~--~~~~-~-~~~--~--~---~~~~-~--~~--~~---~---~~-~~~--~~--~---~~~~~-~-~-
--~---~-~---~~~~-~~~-~--~-~~~--~~---~~--~-~--~~~~--~~--~----~--~-~-~-~~~-~~~
---~~~--~~-~--~-~~~-~~~--~---~~~~----~----~-~--~~~-~-~~--~-~~-~~~-~~~-~----~
--~--~--~~~----~-~~-----~-~~~~--~-~-~~-~~-~----~~-~---~~-~~~~--~~~-~~~--~~-~
~~~~~-~~~----~~~-~~-~~--~~~--~-~~~~~--~--~~-----~-~~--~---~----~-~~~~-~-----
~-~---~--~--~~~~~~~~---~-~~-~--~~~~~~~-~-~---~~--~~--~---~~~~---~----~--~~--
~--~~~~-~-----~--~-~~~-~~~--~--~-~~-~~---~~~-~-~~---~-~---~---~~~~~---~~--~~
~-~--~~-~~~-~-~~~-~---~~~~~--~---~--~~--~~-~~~-~~~~--~--~-~-~-~~---~----~---
-~~~~-~-~---~-~-~~--~--~~----~~---~~-~---~-~~--~-~-~-~--~-~~-~~~~-~--~~--~~~
~--~-~~--~--~-~~-~~-~~-----~~---~~~-~~~~-~-~-~-~~~~~-~-~----~------~~~~~---~
~~~-~~--~--~~~-~--~~---~~--~-~-~~~--~~-~-~--~-~~~~~--~~~---~~-~----~---~-~--
~-~-----~~-~~~~~~-~--~---~--~--~-----~-~-~-~~-~-~~~~~~~~~--~~-~-~--~--~---~~
~~-----~--~~-~~-------~~--~~~~---~~~-~~-~~~~-~~-~-~~--~~~~-----~~-~--~-~~--~
~~~~~~~~~-~-----~~~----~~-~~~~-~---~--~--~--~~-~~---~~~~~--~--~---~-~-~--~--
----~-~---~--~-~-~~~-~~-~~~--~~~~-~---~---~~-~--~~~-~~-~~---~~~-~~~~---~~---
-~~----~~~--~-~~~--~~~~~-----~-~-~~-~~~-~~-~~-~~~-~--~~----~~-~--~-~----~~--
0005774ワット発電中さん
垢版 |
2020/03/28(土) 12:49:44.13ID:Kcm9nAFZ
外部からのパワーオンリセットがない。
MAX10 のパワーオンリセットをFPGA内部で取り込みたい。
 assignment にPower-up-levelてのがあってこれを設定するとPowerON時のレベルは設定できる
んだが、リセットパルスを出す方法がわからない。
レジスタのINをLOW、OUTをHighにするとうまくいくかなっと思ったのだがそうすると、
残念なことにHに張り付く。レジスタに設定するとレジスタが生成されないで設定値になってしまう。
そもそもレジスタの初期値が不定なんだから、レジスタの初期値が設定できないとどうにもならない。

なんか方法がないか?
不定とOR H なら H
不定とAND L なら L
なんかできそうかなと考えてみたが、やっぱり時間差がないとパルスを出すのは無理な。
難しいもんだな。
0007774ワット発電中さん
垢版 |
2020/03/28(土) 13:45:18.11ID:n4Ik6lTN
普通にクロック使えばいいだろ
それともクロックを一切使わない(or 使ってはいけない)、組み合わせ論理だけの回路でも作っているのか?

もし、それが絶対条件と言うなら もうパズルの世界
不可能ではないだろうけど、そういったクイズには興味ないね
0008774ワット発電中さん
垢版 |
2020/03/28(土) 14:12:10.52ID:Kcm9nAFZ
>>6
滅茶うまくいった。もうあきらめてPowerONreset回路を外部で配線しようかと思ってたところ。
//---------------------------------------
// power on reset
//---------------------------------------
reg [7:0] pwonreg = 8'hff;//initialize to 1111111
always@(posedge gclk) begin
pwonreg[7:1] <= pwonreg[6:0];
pwonreg[0] <= 1'b0;//initial = 0 then out streem is 000000011111111
end
assign reset = pwonreg[7] | extreset;
assign pwonout = pwonreg[7]; //virtual power on level monitor
0009774ワット発電中さん
垢版 |
2020/03/28(土) 14:35:43.30ID:Kcm9nAFZ
>>7
クロックとかの問題じゃなくて単純にレジスタの初期化ができないと思い込んでいただけ。
テストベンチで初期化できるのは、当然理解していたが、ターゲット側が

  reg [7:0] pwonreg = 8'hff;//initialize to 1111111

これでPowerON時に好きな値に初期化できるとは思っていなかった。
バカみたいなことだが、それでWaveが真っ赤になるので困っていた。レジスタが初期化できるのなら
何の苦労もなかった。w

でもなんか変だよな。教科書的には
 always@(posedge gclk or negedge res) begin
  if(res)...
    dff <= 1b'0;
  else
    dff <= dff + 1;
  end
こういう書き方が圧倒的に多いだろ。だから強制的にリセットしないとできないのかとてっきり思いこんでいた。
それでないとこんな回路は必要ない。
negedgeの意味は非同期リセットになるからパワーオンリセットをつなぐことを意味するんだよな。
だったら
 reg dff = 1'b0;
 always@(posedge gclk) begin
   dff <= dff + 1;
  end
こう書くべきだな。
非同期resなんていらないよ。これでおしまいじゃん。あふぉらし。教科書が悪い。完璧に間違ってるわ。
0010774ワット発電中さん
垢版 |
2020/03/28(土) 15:57:29.76ID:JjdVqAP9
論理合成ツールに依存するから教科書ではリセットでの代入を書いてる
FPGAでいうとIntelもXilinxも初期値設定に対応してて、Xilinxなんかはリセット使わず宣言時に初期値を与えろとまで言っている
しかし、ASIC出身のうちの部署では未だに宣言時の初期値は使用禁止になってる
最後にASICやってたの20年近く前なのに
0012774ワット発電中さん
垢版 |
2020/03/28(土) 17:32:47.17ID:n4Ik6lTN
reset/set 付きのD/FFは 無しに比べて、当然セルサイズがでかい
ASICなら、後は考えるまでも無い

今まで一体やってたんだ?、レベルが知れるよ
0013774ワット発電中さん
垢版 |
2020/03/28(土) 18:49:08.44ID:TcOORnta
FPGAだとコンフィグレーションのときに初期化相当のことをするだろうけど、ASICはそれはないよね?
昔のしか知らないけれど、そのときは、要所要所で、非同期リセット入力を使って初期化するように
求められた。
0014774ワット発電中さん
垢版 |
2020/03/28(土) 18:53:16.13ID:TcOORnta
>普通にクロック使えばいいだろ

外部クロックが停止しているときに、なんらかのフェイルセーフがないといかんよね。
デバイスがその仕組みを持ってればいいけど。
0015774ワット発電中さん
垢版 |
2020/03/28(土) 20:26:23.31ID:Kcm9nAFZ
FPGAはなんだかんだと難しいわ。PCに比べると100倍くらいマイコンはむつかしいが
FPGAはマイコンよりもさらに100倍難しいな。
もうハードは出来上がってるのにもしピン配置が通らなかったらどうすんの?
これは心臓に悪いな。最終的に動くかどうかドキドキだな。

Error (251005): Can't recognize value PIN_34 as a legal location -- specify a legal location
Error (251005): Can't recognize value PIN_35 as a legal location -- specify a legal location
Error (251005): Can't recognize value PIN_36 as a legal location -- specify a legal location
Error (251005): Can't recognize value PIN_37 as a legal location -- specify a legal location
Error (251005): Can't recognize value PIN_40 as a legal location -- specify a legal location
Error (251005): Can't recognize value PIN_31 as a legal location -- specify a legal location

空きピンなのにこんなんがでてる。なにこれ?
シミュレーションできてたので、いままで気が付かんかった。
assignmennいじくっていて電源が2.5Vだったので3.3Vにしたら消えたw 結果オーライ。
でもまだまだcriticalなワーニングが出てる。
0016774ワット発電中さん
垢版 |
2020/03/28(土) 23:35:55.61ID:J23eHurY
>>15
マイコンはデータシートを読み込んで細かいところまで理解しないと動かせないけど、
FPGAは割と好き勝手にピンを使えるからHDLさえ書ければ楽な気もするな。
IDEやシミュレーターの使い方を習得するのは面倒だし、コンパイルに時間かかりすぎて辛いけど。
0017774ワット発電中さん
垢版 |
2020/03/28(土) 23:50:41.30ID:TkxtfS1J
合成早いPCを作りたいとしてCPU(シングルスレッド、マルチスレッド)、メモリ、ストレージのどれを優先すればいいんだろうか
0018774ワット発電中さん
垢版 |
2020/03/29(日) 00:01:14.53ID:yKzDax5w
>>17
10年前に調査したときはクロックだった。最近は色々変わってるだろうからようわからん。
クラウド上で開発環境を構築するのがベターな気がする。使うたびに金かかるけど1時間数十円とからしい。
0019774ワット発電中さん
垢版 |
2020/03/29(日) 03:00:01.60ID:pg9r79B6
当方、本職はプログラマ。
FPGAに興味を持って勉強中。
terasicの評価ボード使ってやりたいことの目途が立ったんだけど、それ専用のボードを設計する知識がない。
何か参考になりそうな本とかありませんかね?
0020774ワット発電中さん
垢版 |
2020/03/29(日) 10:37:12.95ID:yKzDax5w
>>19
基板設計の知識・経験がないという事でしょうか。
回路は評価ボードの回路図を参考にすれば概ねOKですが、アートワークは細かいところまで考えると難しいですね。
でもアートワークはとりあえず接続さえ間違わなければ一応は動きますけどね。

SoCFPGA使ってBSPがどうとかの話だと自分はよくわからねーっす。
0021774ワット発電中さん
垢版 |
2020/03/29(日) 13:12:06.64ID:VYn1+PTz
module addctl(   
      input wire gclk,eclk,rclk, we3,rd3,we2,run,
      output wire ctreset,pr_wen,rw_eclk
);
      reg we3rd3;
      reg rw_eclkout;
      wire rw_eclkin;
      always @(posedge gclk)begin
          we3rd3 <= we3 | rd3;
          rw_eclkout <= rw_eclkin;
      end
      assign rw_eclkin = (we3rd3  & !run) | (eclk & run);// addup
      assign rw_eclk = rw_eclkout;
      assign pr_wen = we3 & !run;
      assign ctreset = run ? rclk : we2;
endmodule
 
ここでmodelsimでRTLデバッグするとpr_wenは波形がでている。にも拘わらずwe3rd3がでていない。
なんでだろ。 意味不明なのでPrime19にvupしてみたが同じだった。
変な現象が発生したときはtechnologymap viewerでみると時々配線ができてないことがあるのでそれも
確認してみたが、正常にレジスタが生成されて配線ができている。わからんよーーー。
0022774ワット発電中さん
垢版 |
2020/03/29(日) 14:17:15.18ID:pg9r79B6
>>20
>基板設計の知識・経験がないという事でしょうか。
ですです。
terasicのDE10-Liteを使ってるんですけど、これって回路図の提供されてるんですかね?
インストールメディアが無かったから、公式サイト漁ってみます。
CQ出版のMAX10のキット付き本に、回路設計に役立つものがあるらしいので、それも探して見ます。
0024774ワット発電中さん
垢版 |
2020/03/29(日) 22:06:11.39ID:pg9r79B6
>>23
わざわざ探していただきまして、本当にありがとうございます。
これで一歩進めそうです。
0026774ワット発電中さん
垢版 |
2020/03/30(月) 22:53:07.54ID:Q7qCFeXz
NIOS IIのコンパイルはマイコンに比べると大分遅いんだな
トライアンドエラーをしてると時間がどんどん消えていく
0027774ワット発電中さん
垢版 |
2020/03/30(月) 22:56:29.09ID:I91ia+/X
使ってない場所の処理がいい加減なんじゃね
0029774ワット発電中さん
垢版 |
2020/03/31(火) 08:27:12.26ID:xUlLQTAl
NIOSのコンパイルはそんなに時間かかんなくね?
毎回BSPまでコンパイルしてんのか?
0030774ワット発電中さん
垢版 |
2020/03/31(火) 15:18:59.03ID:IKXOXrG/
昨日初めて使ったのであやふやですが、Cを書き直したあとにcleanでコンパイルしてます。
これで約2,3分かかり、デバッグ開始にまた1分くらいかかってます。
普段使ってるルネのマイコンだと1分かからずにコンパイル+デバッグ開始できるのでだいぶ遅いなと
0031774ワット発電中さん
垢版 |
2020/03/31(火) 15:22:56.66ID:DK/CLr0r
CPUが省電力モードとかいうオチ
0032774ワット発電中さん
垢版 |
2020/03/31(火) 16:21:57.59ID:OTlvlsew
あまり開発に向いてないPC使ってるんじゃね?
ルネのマイコンのプログラム規模が不明だけど、詰めるだけ詰め込んだりしなければ10秒とか20秒で終わるでしょ
0033774ワット発電中さん
垢版 |
2020/03/31(火) 20:55:12.52ID:xUlLQTAl
>>30
毎回クリーンする必要はない
一度コンパイルすれば以後変更されたファイルのみコンパイルされる
0034774ワット発電中さん
垢版 |
2020/03/31(火) 20:55:32.15ID:PXfwAMX9
20年くらいぶりにxc9572xlを使ってみようと思うのですが、パラレルケーブル3を作れば良いのですかね?
0035774ワット発電中さん
垢版 |
2020/03/31(火) 23:04:15.14ID:+OosvgeH
あぶねー
国際貨物受け入れる停止っぽいね
つい先週digikeyでDE0-CVとDE1-SoCかって届いてたけど
1週間遅れてたら危なかった
0039774ワット発電中さん
垢版 |
2020/04/01(水) 11:30:30.46ID:AH3V79x7
在庫有なら良いんだけどな
中華生産のはやばそうだな
漏れも中華LASERのOHP1月に注文したのでセーフだった
0040774ワット発電中さん
垢版 |
2020/04/01(水) 14:03:48.23ID:XZU3OS/h
オキニから「明日来てくれたら、追加無しで基盤やらない♪」って4月1日の0:03頃に連絡が来てたが、明日って明日か?今日か?(笑)
ナイトメールを21:46頃にしたが(笑)
最近の若者はよくわからん奴が多いが、オキニのやることだから振り回されてやろうじゃないか(笑)
今日はエイプリルフールだな(笑)
とりあえず準備中(笑)
0042774ワット発電中さん
垢版 |
2020/04/01(水) 22:51:57.74ID:mLMg3+eI
>>31-33
30ですが、cleanからbuild allに変えたら大分早くなりました。
情報ありがとうです。
0043774ワット発電中さん
垢版 |
2020/04/04(土) 19:11:54.66ID:GiIBd2jD
>>32
ノートPC(7300u+4GB)で開発してたのですが、試しにデスクトップ(2600k+18GB)でコンパイルしたら1/4くらいで終わりました。マイコンと違ってFPGAだとPC性能が重要なんですね。
0044774ワット発電中さん
垢版 |
2020/04/04(土) 20:26:35.31ID:X4hNoGi2
Xilinxのサンプルソースに以下のものを見つけました。

always @(posedge clk)
begin : hcounter
if (hpos_clr)
hpos_cnt <= 11'b000_0000_0000;
else if (hpos_ena)
hpos_cnt <= hpos_cnt + 11'b000_0000_0001;
end


beginのあとの 「: hcounter」のような書き方を初めて見たのですが、これはどう意味か
わからず困っています・・・
0045774ワット発電中さん
垢版 |
2020/04/04(土) 20:42:36.27ID:y1Xx3qBl
ラベルです
詳細はググって
なにかメリットあるかというとなんもない気がする
0047774ワット発電中さん
垢版 |
2020/04/04(土) 21:24:58.06ID:X4hNoGi2
>>45
ラベルというものの存在を初めて知りました。
ありがとうございました。
0050774ワット発電中さん
垢版 |
2020/04/05(日) 02:23:45.21ID:oicx1yP3
>>48
どっちもwindows10です。

もしかしたらRAM不足かもしれません。
quartus primeの推奨RAM量はMAX10で2GBらしいので、4GBのノートPCだとページファイルを使ってそうです。
0051774ワット発電中さん
垢版 |
2020/04/05(日) 13:21:36.72ID:m1BTGCPM
64bitOSで4GBはつらいと思います。
32bit XPで4GBでは古いQuartusでも時間かかりました。今は
0052774ワット発電中さん
垢版 |
2020/04/05(日) 15:14:56.06ID:I2nfP+Dc
仕事ではRAM 256GBのマシンで開発してる
CPUのコア数とRAMが速度に効く
0057774ワット発電中さん
垢版 |
2020/04/08(水) 12:16:57.38ID:WJv6q0ae
40年位前に絶滅したはず
0058774ワット発電中さん
垢版 |
2020/04/09(木) 12:04:08.07ID:cV04WuCo
FPGA開発用にマシン用意するとしたら
ryzenよりintelのほうがいいのかな
0059774ワット発電中さん
垢版 |
2020/04/09(木) 22:00:42.75ID:LeL9aUl5
大学教授さん、FPGAの開発環境を超高速化できるアーキテクチャの研究をしてくれよ
0061774ワット発電中さん
垢版 |
2020/04/09(木) 22:38:48.94ID:owXCg1M0
実用的な技術に関しては企業>>大学だね
教授自身が優秀でも実際に作業するのが学生ではどうにもならないよ
0062774ワット発電中さん
垢版 |
2020/04/10(金) 02:20:49.77ID:CX9bkDGS
理学とかはともかく
工学であれは
大学 << 越えられない壁 << 企業
だよ。
というか大学で修士までやった奴が企業で研究やってんだから当然といえば当然
大学が優れてる点があるとすれば、自分が研究やってるある一点についてのみ企業よりレベルは上なのかもな。
0063774ワット発電中さん
垢版 |
2020/04/10(金) 08:29:43.75ID:AEMT4CcW
時間かかってるのって配置配線で、もろに組合せ最適化問題だから量子アニーリングで高速化できそうなんだよな
0064774ワット発電中さん
垢版 |
2020/04/10(金) 10:10:08.74ID:qBHtFU6F
>>60
0065774ワット発電中さん
垢版 |
2020/04/10(金) 12:43:42.52ID:v/6eTJL/
開発環境は何か役に立つんだか立たないのかわからないものを作るためのツールで、それ自身の成果はアッピールしにくいから
0066774ワット発電中さん
垢版 |
2020/04/12(日) 09:40:20.50ID:DWHbYbjO
HDMIで入力された画像を縮小して、HDMIで出力したいと考えています。
具体的には、"1920x1080 (16:9) 60FPS" を "1080x608 (16:9) 60FPS" で出力することです。
このように整数倍ではない中途半端な倍率でスケーリングする場合、使いやすいIPや手法・アルゴリズムは
あるでしょうか。自分でも調査中です・・・・
変換の遅延はできるだけ小さくしたいと考えています。
0067774ワット発電中さん
垢版 |
2020/04/12(日) 09:45:53.48ID:DWHbYbjO
>>66
あっ、すいません。
説明がおかしいので、もう1回書きます。

"1920x1080 (16:9) 60FPS" の画像を"1080x608 (16:9) に縮小して、
その画像を 1920x1080 の画像の真ん中に表示して1080Pとして出力する。

が正しいです。
0068774ワット発電中さん
垢版 |
2020/04/12(日) 11:01:11.27ID:u4oBEqE/
背景は?
0069774ワット発電中さん
垢版 |
2020/04/12(日) 11:22:43.76ID:DWHbYbjO
>>68
はい、背景(1080x608ドットの動画の周囲の)は、静止画(フレームバッファから読み出しのみ)を表示したいと
思っています。
0073774ワット発電中さん
垢版 |
2020/04/16(木) 23:48:12.91ID:oXfBgABX
HDMIで入力された3840x2160 60FPS動画を h265で圧縮してファイルに落としたいのですが、
GPU/CPU/FPGAの切り分けを検討中です。
どういう設計にすればいいのでしょうか?
0074774ワット発電中さん
垢版 |
2020/04/17(金) 00:02:26.84ID:LggOmy9w
リアルタイム性の要求とかRAM制約とかがないなら全部CPUでやれば?
0076774ワット発電中さん
垢版 |
2020/04/17(金) 14:31:50.96ID:u6SnZVsg
4kで60fps圧縮だと、zynqのev以外に選択肢ない
ワンチップでできる
0078774ワット発電中さん
垢版 |
2020/04/18(土) 01:44:40.75ID:ODGRbR2C
GPUやCPUはハードウェアエンコーダ付いてるからFPGAより速い。
0082774ワット発電中さん
垢版 |
2020/04/19(日) 05:14:06.05ID:/iYBaNIj
>>80
3500円 になってるが?
0085774ワット発電中さん
垢版 |
2020/04/22(水) 01:54:36.36ID:/n+KFG8X
PL法で何かあったらどうするんだ!と言われて、提案したIPの企画が棚上げになっています
それほどまでに恐ろしいモノなんでしょうか?
0086774ワット発電中さん
垢版 |
2020/04/22(水) 01:54:36.72ID:/n+KFG8X
PL法で何かあったらどうするんだ!と言われて、提案したIPの企画が棚上げになっています
それほどまでに恐ろしいモノなんでしょうか?
0087774ワット発電中さん
垢版 |
2020/04/22(水) 10:18:51.40ID:MoZMMkkc
100%安全なものはないから
運用でカバー
リスクコミュニケーション
0088774ワット発電中さん
垢版 |
2020/04/22(水) 16:24:22.90ID:DZq0eLF0
状況がよくわからんね
>>86はメーカー勤務で、見つけてきたIPが社内の安全基準を満たさないってこと?
それとも>>86が作ったIPを売るってこと?(この場合はPL法は関係ないような)
0089774ワット発電中さん
垢版 |
2020/04/23(木) 01:11:22.16ID:CHY2gzrg
契約の問題でしょ
書面ガチガチに固めれば問題ないと思う
0090774ワット発電中さん
垢版 |
2020/04/24(金) 16:37:43.00ID:E9NbI6ho
まあ不都合があって修正がきくものだったら修正版を提供するってくらいかな
それをタダでやるか金取るかいつまで相手するかなどは>>89でいいんじゃない
0091774ワット発電中さん
垢版 |
2020/04/25(土) 05:40:57.38ID:oQ72n1b1
昔XilinxてPLLじゃなくDLLしかのってなかったのに
しらんうちにPLLのせるようになったのはどーゆー理由?

そもそもFPGAのDLLって
相関器の差を利用したCDMAのDLLとは異なるもんよね?
0092774ワット発電中さん
垢版 |
2020/04/25(土) 06:58:17.75ID:oQ72n1b1
DLL位相を直接制御し、
PLLはVCOにより周波数を制御制御すると。
位相は周波数の積分により算出できることから伝達関数のオーダーがPLLの積分作用素分だけ1/s倍されてると、
分母のオーダーとしてPLLが1次大きいってことはわかった。

んで結局DLLとPLLの得失としてはどーなるの?
ジッタとかどっちが有利なん?
収束はDLLのほうが速い気もするけどどーなん?
DLLのほうが簡単なんでDLLから実装し始めたけどパフォーマンスとしてPLLの方が上なんで、
素直にPLL搭載にシフトしていったってこと?
0093774ワット発電中さん
垢版 |
2020/04/25(土) 07:10:36.00ID:ofRdBFXx
そうでしょ
ジッタ面では不利だが、PLLの方が生成できる周波数の幅が広い
1つの発信器から、任意(比較的)の周波数のクロックを生成できる

集積度が上がったんで、搭載できる機能が増えた
例えば(Video / Audio / USB / HDMI / PCIEx)とか
それぞれ固有の周波数が必要だが、一々それ毎に発信器を用意してられない
0094774ワット発電中さん
垢版 |
2020/04/25(土) 07:40:30.15ID:oQ72n1b1
ジッタでPLLが不利???
ジッタクリーナでDLLなんか使ってるのないけど
遅延線決め打ちってことならDLLの方が最終的な制御段数としては粗くならないの?
VCOは電圧制御に使うDACのビット数あげれいくらでも細かく制御できるように思うけど。
0095774ワット発電中さん
垢版 |
2020/04/25(土) 08:08:57.21ID:ofRdBFXx
君は、位相比較とループ・フィルタについて もっと勉強すべきだな
そうすれば、そのような的外れな主張も減るだろう
0096774ワット発電中さん
垢版 |
2020/04/25(土) 08:35:34.28ID:SbfPyWUi
PLLはアナログ動作が必要なので、
簡単な構造でON/OFFだけを沢山させたいチップに載せ難かった

つうのが昔話
0097774ワット発電中さん
垢版 |
2020/04/25(土) 10:52:30.44ID:oQ72n1b1
>>95
phase detectorとループフィルタてPLLの話だろ?
片側の制御ループの勉強がなんでDLLとの得失に言及できるんだ?
PLLが不利なのはDLLでは必要ない周波数ロックのキャプチャレンジとロックアップタイムの話じゃないのか?
そもそもソースのジッタが出力にまんま現れるDLLより、
ジッタ制御でPLLが不利っていったいどうーゆー意味か説明してくれませんかね?wwww
0101774ワット発電中さん
垢版 |
2020/04/26(日) 07:30:56.40ID:Sl+bscBC
ツール買ったりしてるけど会ったことないや。
ってか、今の時期、外回りしないだろ。
Stay at home, Save lives.
0102774ワット発電中さん
垢版 |
2020/04/26(日) 07:55:00.16ID:bVnC9wO6
Digikey Mouserで買えるんだから、Avnetなんていらん。
商社に下手に絡むと、量産時に面倒。
0103774ワット発電中さん
垢版 |
2020/04/26(日) 08:14:03.58ID:Sl+bscBC
>>102
商社通さないと面倒な会社もあるんですよ。
数万円ならコーポレートカードで決済しても通せるけど。
0104774ワット発電中さん
垢版 |
2020/04/26(日) 08:54:11.87ID:LN/AqUVD
FPGAのサポートするFAEでどっかいい会社ないの?

みんな技術力が落ちてる
0105774ワット発電中さん
垢版 |
2020/04/26(日) 08:56:37.26ID:LN/AqUVD
まぁオレの技術力もないんだが。
FPGAメーカそのものが応えられない状況が続いてて
10年前はここまで酷い状況じゃなかったと思うんだが
0106774ワット発電中さん
垢版 |
2020/04/26(日) 09:25:22.85ID:Kler7AT3
FPGAでいう技術力ってほぼツールの使い方じゃん
ひたすら資料読み込めばいいよ

ただしxilinx、テメーはダメだ
0107774ワット発電中さん
垢版 |
2020/04/26(日) 11:07:44.14ID:Pa3xNYbK
stay at home

stay home
どっちが正しいの?
0109774ワット発電中さん
垢版 |
2020/04/26(日) 15:34:31.64ID:LN/AqUVD
>>106
そうXilinxだよ。資料読んでもちんぷんかんぷん
まともなサポートなんぞ誰もいねぇ
結果使えないorz
FPGA嫌いになった。Xilinxのサポート貧弱過ぎて
0118774ワット発電中さん
垢版 |
2020/04/27(月) 21:48:22.86ID:1VqeC/zQ
>>116が何言ってるのか分からなかったけど、>>117がわかりやすく解説してくれて助かったよ
そういうことだったんだね
0120774ワット発電中さん
垢版 |
2020/04/28(火) 10:56:37.74ID:zjgxj0fV
合成中にプログレスバー見ながらシコる上級者しかいないからな
0122774ワット発電中さん
垢版 |
2020/04/28(火) 17:02:21.84ID:41y/T5RG
それはエミュレータで十分やろ
0123774ワット発電中さん
垢版 |
2020/04/29(水) 02:18:28.03ID:5H6htGD5
十分かどうかはどうでもいい。FPGAで射精する手段を書いたのみ
0124774ワット発電中さん
垢版 |
2020/04/29(水) 09:18:54.91ID:+bRsW9/a
退屈なスレはテドロスにやらせよう
0126774ワット発電中さん
垢版 |
2020/04/30(木) 10:24:00.32ID:Nh4cVXpN
蝦嬢に転職しないように留めて置くのが大変そう
0130774ワット発電中さん
垢版 |
2020/05/05(火) 08:55:51.59ID:99rS6SkY
もう、FPGAは終わったのか

XilinxはAIのみで大きいデバイスしか興味なし
IntelはCPUアタマ打ちの次の金儲けでユーザ興味なし
Latticeは小さい領域で細々
GOWINは日本で買えない
あと何かあったっけ?Actel?

儲からないFPGA技術者
0137774ワット発電中さん
垢版 |
2020/05/06(水) 15:18:13.79ID:ooUhRm0o
>>136
技術いらないなら、三日ぐらいでシミュレーションぐらい新人はこなせるはず
0138774ワット発電中さん
垢版 |
2020/05/06(水) 15:27:18.68ID:xPXEorRe
未だに上流をやって、下流を下請けに出すことが正しいと考えている馬鹿も多いよな。
大手企業は上流をやって稼いでいるのではなく、会社のネームバリューで仕事を取るっていう形の、商社なだけだぞ。

それを理解せず、御用聞きと丸投げのことを上流といって、安いだけの下請けに仕事をなげてトラブってばかりの会社とかもあるけどな。

安い人間を使って日常的にトラブルが発生することが当たり前で、利益率なんかより予算達成の方が重要だと思っているのがこの業界のマネジメント層だよ。
0140774ワット発電中さん
垢版 |
2020/05/06(水) 19:35:38.47ID:f7YiC6YJ
大企業コンプがひどいな
御用を聞いて、仕様書を書いて、アホな下請けを管理することの大変さが分かってない
0142774ワット発電中さん
垢版 |
2020/05/06(水) 21:25:01.73ID:ENJhcRMK
べんちというか、RTL部分とシミュ指定部分の区別がついていない
0145774ワット発電中さん
垢版 |
2020/05/06(水) 22:52:00.25ID:b2N8OBBj
専門知識が必要な仕事ではあるが、「正しく伝わるような」資料の作成の時間が長すぎて、自分で設計・実装する方が早いんじゃね?とか思ってしまう
0149岡村隆史
垢版 |
2020/05/07(木) 21:33:13.85ID:4WN1aTMJ
風俗行けや
AVでもいいぞ
0152774ワット発電中さん
垢版 |
2020/05/13(水) 10:19:03.78ID:GEh9kLW4
求人サイトとか見てると悲しくなるな。
月収25万〜とか、新人かよ。
年収800万でも都会だと厳しくない?
0154774ワット発電中さん
垢版 |
2020/05/13(水) 19:21:21.97ID:e+e80bGN
都会でも800万で十分だよな(FPGAのくせに結婚とかありえない)
0158774ワット発電中さん
垢版 |
2020/05/13(水) 22:41:21.42ID:B278gjjP
FPGA設計というのは、FPGAで嫁と子どもを創るんだ。そういう上位設計ができなければ、低賃金になるんだよ。
0159774ワット発電中さん
垢版 |
2020/05/13(水) 22:45:03.42ID:GEh9kLW4
FPGA設計というのはマクロセルの構造考えるんじゃないのか?
0162774ワット発電中さん
垢版 |
2020/05/13(水) 23:59:17.98ID:c1C/OWMY
FPGAを設計している人は、このスレの中でも少数派じゃないですかね
0164774ワット発電中さん
垢版 |
2020/05/14(木) 00:49:28.11ID:ERi8eyW9
『FPGAプログラミング大全』という本もあるけど、実はFPGA「を」プログラミングする行為を指していた?
0166774ワット発電中さん
垢版 |
2020/05/14(木) 10:56:16.18ID:ALtnu6ne
Field Programmable  Gate  Array
現場  書換可能  (論理)門 配列
0167774ワット発電中さん
垢版 |
2020/05/14(木) 12:34:34.74ID:szSOu404
周辺回路図考えて書いて基板監修してアルゴリズム考えてHDL書いて制御ソフト書いてケース考えて組み立てまでほぼ一人でやってるよ
基板設計とマウントは外注
零細なんでね
0168774ワット発電中さん
垢版 |
2020/05/14(木) 13:50:44.20ID:abd5qWds
>>164
多分、言いたいのはプログラミングじゃなくてコンフィギュレーションってことかな?
0173774ワット発電中さん
垢版 |
2020/05/19(火) 08:13:05.68ID:HF8Srm0Q
アナログ回路とデジタル回路設計して基板設計してプログラム組んでHDL書いて
ボーナスなしで月20万ももらえない業界だし仕方ないね
0174774ワット発電中さん
垢版 |
2020/05/19(火) 22:02:29.79ID:ciMQUjyY
さすがにそれだけスキルがあって月20万未満はあまりないと思うけど…
週5日×8時間ほど働いて月20万未満なの?
0177774ワット発電中さん
垢版 |
2020/05/21(木) 12:06:29.86ID:coyTZBi8
東京周辺なら仕事はいっぱいだけど少し田舎になると20万が普通
0179774ワット発電中さん
垢版 |
2020/05/22(金) 10:52:05.29ID:qpN1RTZn
FPGAで引く手あまた?www
いったい今の日本でFPGAの製品実装なんて仕事がどれだけあるよ
かつてASIC起こす際の試験でFPGAは多用されてたけど、こんな案件も国内プロジェクトでどんだけ残ってるかっていうね。
テレワークシステムがRaspberry PiでもできるようにFPGAを持ち出す案件がどれだけあんのさ?
0180774ワット発電中さん
垢版 |
2020/05/22(金) 12:09:30.68ID:2McpqJRk
カメラやモニターの画像処理にFPGAを使ってる会社ならいくつか知ってる

いくつかしか知らない
0183774ワット発電中さん
垢版 |
2020/05/22(金) 17:49:43.09ID:y0nPoiID
FPGAは高価過ぎる
高価過ぎて売れない→売れないから値段下げられない
の負のループに陥ってる
0184774ワット発電中さん
垢版 |
2020/05/22(金) 18:19:14.27ID:qpN1RTZn
>>181
そもそも動作周波数遅いし、単価高いし、
書き換え可能な劣化版ASICとしての量産に向かない市場しかないじゃないか。
0185774ワット発電中さん
垢版 |
2020/05/22(金) 21:18:47.95ID:kB9Fp/cS
お前ら案外fpga使ってないんだな

こっちは年々fpgaの仕事が増えてきて手が回らないから、
ソフトから増援を呼んでなんとか対処してる
0186774ワット発電中さん
垢版 |
2020/05/22(金) 23:03:30.26ID:vmoc78EV
通信機器とか放送機器とかASIC化する間もなく進化するからFPGAの独壇場だぞ
0187774ワット発電中さん
垢版 |
2020/05/23(土) 03:45:06.93ID:TTbjeOK1
>>186
それだってモノとしては本来ASICにしたいけと
時間と開発コスト考えたら割に合わないんでFPGA選択ってことだろ

>>185
そんな分野が日本でまだ残ってんのか?
5G基地局か?華為から調達できなくなって目論み変わって、国内メーカの尻たたき出した?
エリクソンあたりはいいけどサムチョンとかから調達すんのやめてよね >>携帯電話会社
0188774ワット発電中さん
垢版 |
2020/05/23(土) 03:57:42.59ID:TTbjeOK1
>>165
大体CPU+GPUでかたづくよね
FPGAっていうかHWで動作しなきゃならない部分ってのは
モデム関係の正確なクロックに同期して動作する必要がある箇所限定じゃねーの
コレだって大抵FIFOでかたづくし、FPGAだってとろいクロックでしか動かないわけで、CPUと同じような構成になるのでは?
Intelが注力してる金融取引にFPGA使うとかはよくしらねーけど
一体年々増えてるFPGA開発ってどの分野か教えてよ
0190774ワット発電中さん
垢版 |
2020/05/23(土) 07:34:12.78ID:rJsEl7AS
>>186
進化が速いくて追いつかないじゃなくて、マーケットが極少だから誰も手を出さない
だから、 ASICにした処で 元が取れないのは明白だからな

そういった分野はそれなりにあるし、今後 無くなる事も無い
市場規模で 60億米ドル/年 あって、それが まだ伸びてる訳だから

---
>183-184
クビになった、無能 派遣かなんか知らんが
それを無視した嘘話をしたところで、結果は変わらんよ
0191185
垢版 |
2020/05/23(土) 10:38:37.73ID:0G9YASfy
>>188
普通に産業向けの装置なんだがな。
ASIC屋か知らねーけど消費者向けの
装置なんて業界で見れば少数で
多くはBtoB向けだろ。

そもそもCPUじゃIOが貧弱すぎて単純な
ものしかインターフェースできない。
多くのIOの同時操作はできないし、
高速なICも2,3個載ってたらもう制御しきれない
0192774ワット発電中さん
垢版 |
2020/05/23(土) 11:21:53.29ID:8/NZperw
ASICが1日で出来上がるならASIC使うけど何ヶ月も掛かるじゃん?

そんな次元で仕事してないんだわ。
0194774ワット発電中さん
垢版 |
2020/05/23(土) 13:30:47.21ID:qbC9HVBB
無能派遣と言われ続けてきたけど、派遣使わないとできない奴らが無能では
0195774ワット発電中さん
垢版 |
2020/05/23(土) 21:32:29.09ID:bqLMRO6o
派遣抜けて、転職して1年後上司が変わって
抵抗をつけて放電時間をはかるだけの作業を毎日一ヶ月近くやらされたことを思いだした

その上司裏で散々ワイの悪口いうとった

FPGAわかりませーん。のくせに退職届出したら無能通告

退職後本名で検索したら、LSIメーカーにいて特許も出しておった

半導体関連からくるヘンテコな奴が派遣に来たり、中小にいたりすんの何とかならへんかな
0198774ワット発電中さん
垢版 |
2020/05/24(日) 21:47:16.52ID:qLq/ujtz
東芝てかキオクシアはフラッシュしか作ってないやん
LSIというならルネっしょ
こういう機能性LSIの3D実装ってできないんかな?
0200774ワット発電中さん
垢版 |
2020/05/24(日) 23:36:23.45ID:a5Ubjs7m
>>197
総合電機はLSIメーカーとは呼ばないよね。
設計専門会社はメーカーじゃないし。
確かにルネサスくらいしかないな。
0201774ワット発電中さん
垢版 |
2020/05/25(月) 09:14:47.21ID:SYw02TCt
まあ、ルネも元は総合電機メーカー(日立と三菱)の一部だったんだけどね
0208774ワット発電中さん
垢版 |
2020/05/27(水) 00:05:13.93ID:OVcBNKDD
>>199
300Wの14nmを積層されても迷惑なだけだが、
分子に大きさがある限り微細加工は早晩行き詰まる
絶好調のTSMCだって3nmの次はわからん
平面方向のプロセスルールが行き詰まったら
クロックの伸びはもう完全に終了しても、、
回路規模の増大は、縦方向に実装すればなんとか延命できる
縦方向に回路つくらなくてもバスを介して1階,2階のコアをつなげばいいだけだと思うけど
2025年ぐらいで行き詰まるんじゃねーの?
0211774ワット発電中さん
垢版 |
2020/05/27(水) 04:35:36.42ID:OVcBNKDD
単純に
CPUはもうクロック上がらなくなって
コア数増やして並列数で処理量増やしてるという現実がある
同じダイサイズならコア数によって値段が決まる
0212774ワット発電中さん
垢版 |
2020/05/27(水) 07:47:13.74ID:rZbVWCpj
WindowsもMacも無駄に大きなOSになっちまったもんなぁ
CPUも大きくしていくしかないのか
0213774ワット発電中さん
垢版 |
2020/06/06(土) 12:38:48.14ID:jxAv3TlZ
USB3.0 to SATAブリッジって世の中にいっぱい流通しているけど
チップのみ買える&設計に必要な情報が公開されているチップは多分ない
USB HUBやSATA PMPなんかも同様
かといってFPGAで実装すると言っても簡単じゃないしなぁ
0214774ワット発電中さん
垢版 |
2020/06/06(土) 16:47:27.09ID:S8FMglw2
専用のphyが必要
そんなもの外付けしてまで、FPGAに取り込もうとするヤツは まずいない
$1〜2程度のヤツで、そんな事をしたら 価格が完全に逆転してしまう
0215774ワット発電中さん
垢版 |
2020/06/06(土) 18:41:37.62ID:EF4vzQsn
確かopencoreにsataのip転がってたぞ
あとはusb3ならftdiとかでいいし
0216774ワット発電中さん
垢版 |
2020/06/06(土) 19:18:16.62ID:jxAv3TlZ
例えばJMicron JMS580+JMB575でUSB3→SATA3×5とかやってみたいが
チップは売っていないし、マニュアルもないし、ファームウェアもないで詰み
0217774ワット発電中さん
垢版 |
2020/06/07(日) 01:39:50.83ID:sxHyuOfA
個人を相手にしだすと、たまに変な人もわいてくるからねえ
0218774ワット発電中さん
垢版 |
2020/06/09(火) 16:14:41.48ID:aI/1m83B
2進数の10bitで来た信号(10進数の0〜999)を
10進数の桁ごとに分けて2進数の出力をしたいんですが
d739 (10'b1011011100) → d7 (4'b0111) 、d'3(4'b0011)、d'9(4'b1001)

今は来た信号を100で割り算して百の位を出力、
出力を百倍して元の信号と引き算して10で割り算して十の位を出力。。。
のような流れで割り算、掛け算+減算の階段でやっているのですが
掛け算、割り算を用いないでもっとスッキリした方法はありますか?

極力軽い動作にしたいのですが、初心者のため思いつかないので
ここに知恵を借りに来ました。
0221774ワット発電中さん
垢版 |
2020/06/09(火) 18:03:29.75ID:Echz3ROx
12KBほどのBRAMがあれば、1サイクルで答えを出せるわけか
いいねえ
0222774ワット発電中さん
垢版 |
2020/06/09(火) 18:18:17.32ID:wqWxtva5
>>221
普通は10で割った商を保存しながら剰余を出力し
それを繰り返すと思うんだが
割り算使っちゃいけないというんで
そんな方法しか思い浮かばんかった
0224774ワット発電中さん
垢版 |
2020/06/09(火) 18:41:22.15ID:dpmewODT
>>254
雑に書くけど
if(a>=900)b=9;
else if(a>=800)b=8;

a-=b*100;

みたいな感じどーよ?
わり算なし。
0225774ワット発電中さん
垢版 |
2020/06/09(火) 19:45:46.37ID:X4n46Wa7
テーブル引きって便利すぎて覚えると多用しちゃう
1/xとか三角関数とか出てくるとまずテーブルで検討する
0226774ワット発電中さん
垢版 |
2020/06/09(火) 20:31:42.05ID:+zRZDMsl
ぶっちゃけ>>218程度だとFPGAに計算させるより高速なマイコンでLUT引いた方が速かったりして
0229774ワット発電中さん
垢版 |
2020/06/09(火) 22:01:35.03ID:GglLnMgs
74シリーズでそんなICがあったんだよ。
何個も組み合わせるんだけどどうなってるんだか
厨房のオレには分からなかった。
0232774ワット発電中さん
垢版 |
2020/06/10(水) 10:27:21.26ID:6EQ0meiR
テーブルがいやなら桁ごとの評価してみては
100より大きいかみて大きかったら100引いていって、引いた回数が100の位
10の位も同様、余りが1の位
ま、割り算してるのと同じだが乗算器は使わないでできる
0233774ワット発電中さん
垢版 |
2020/06/10(水) 18:58:58.19ID:r+/t/w8L
このスレのFPGAエンジニアがあまり先人に学ぼうとしないことが分かってしまって辛い
0235774ワット発電中さん
垢版 |
2020/06/11(木) 01:38:49.24ID:jMjyrXhi
Vivado 2020.1が出ているわけだが、インストーラのサイズがインフレ気味だな

今回
Vivado HLx 2020.1: All OS installer Single-File Download (TAR/GZIP - 35.51 GB)

前回
Vivado HLx 2019.2: All OS installer Single-File Download (TAR/GZIP - 26.55 GB)

前々回
Vivado HLx 2019.1: All OS installer Single-File Download (TAR/GZIP - 21.39 GB)
0236774ワット発電中さん
垢版 |
2020/06/11(木) 05:38:37.23ID:U/UbIQej
うんんざりするようなサイズ
その9割は、絶対に使わないようなファイルで占められている
0239774ワット発電中さん
垢版 |
2020/06/11(木) 12:16:44.03ID:XUfOkLrG
いやいや、数年以内にはVivadoがVisual Studioを圧倒的大差をつけて追い越すんじゃないの?
0241774ワット発電中さん
垢版 |
2020/06/11(木) 20:23:20.17ID:0WvJBdoc
ザイリンクスはデバイスはいいのに
相変わらず開発環境はクソだな
0243774ワット発電中さん
垢版 |
2020/06/13(土) 03:42:37.42ID:egB6/CN6
教えてください。
Xilinx Spqrtan6 FPGAを使用した基板を作ったのですが、
ミクロンというメーカーのM25P40というSPI Flash ROMが廃品だったので、
同じ4Mbitの、MicrochipのSST25VF040を使用しました。
iMpactでJTAGで、FPGAは認識するのですが、SPI Flashが認識しません。
というか、SST25...がリストに上がって来ないのです。

そこで教えてください。
1. iPmactのDevice...でリストに出てこないのは、容量は同じでも、
  iMpactのリストの中のデバイスを使わずに、別メーカのものを使用したからでしょうか?
2. そのリストの型式と実物を合わせないと、iMpactでは絶対に書けない、という理解は正しいでしょうか?
3. FPGAに必要な容量が2.7Mbitです。ならば4Mbitでも、16Mbitでも、
  2.7Mbitより大きい容量のROMは使用できると思いますが、
  mcs自体が4Mbitの前提で生成してあるので、それ以上の容量ならOKというわけではなく、
  ちゃんと4MbitのROMにしないと、そのままでは書けない。
  つまり再度bit→mcsを行う必要がある、という理解は正しいでしょうか?

よろしくお願いします。
0245774ワット発電中さん
垢版 |
2020/06/13(土) 06:15:49.91ID:mHeqv1Fo
SPI Flash ROMには製品コードが書かれている領域があって、
書込みソフトに登録してあるコードと一致しないと、次に進まない。
新しいROMを使う場合は、コードを登録しないとアカンのやけど
いわゆる裏技になるのでやほーとがggrksでございます。


知らんけど
0246774ワット発電中さん
垢版 |
2020/06/13(土) 09:50:39.40ID:/IhAv0w5
特定の領域にアクセスしたら嘘コード還すtrap造れないかな
0248774ワット発電中さん
垢版 |
2020/06/13(土) 19:38:02.76ID:6g2uyQQ4
>>243
リストにあるflashとリードとライトのコマンドが同じならできる

ただし環境変数にベンダーID確認をスキップするやつを追加する必要がある
0253774ワット発電中さん
垢版 |
2020/06/20(土) 07:23:10.62ID:+H7BWcSm
ベンツのライトに付いてるコントローラーにM3が入っていたよ
0254774ワット発電中さん
垢版 |
2020/06/20(土) 07:26:49.57ID:+H7BWcSm
なんか製品デザインが中華っぽいね
0255774ワット発電中さん
垢版 |
2020/06/20(土) 07:34:30.88ID:+H7BWcSm
住所がアメリカやカナダでも実は中華人が中華売ってるってことは非常に多いよ
俺の業界では すでにそこ通り越して 堂々と中華として売ってる
アメリカ人はただの販売員
しかも中華人自体が米大メーカーのリストラ
コードの開発頼んだらちょっとまってくれと言ってわずか3日で出してきた
アメリカ人には無理 ついてるコメが漢字だったし
結局そこを切って上海ルートで原作者探し
なんとシンセンだった


今度は英語の問題が発生 相手がわからない Google翻訳で返事してくる
0256774ワット発電中さん
垢版 |
2020/06/20(土) 07:36:58.08ID:+H7BWcSm
社内通訳は外国語大出てるが 技術センス マイナス 会社の敵 足引っ張ってる
0257774ワット発電中さん
垢版 |
2020/06/20(土) 07:39:09.24ID:+H7BWcSm
もう世界中イギリス英語で統一しろ!

トランプみたいに変な雅語とか文語使うな 偽知識人め
0259774ワット発電中さん
垢版 |
2020/06/24(水) 22:26:32.91ID:L7jQHJjC
イギリス英語はいいけどイギリス人の話し方は分かりにくい。
0260774ワット発電中さん
垢版 |
2020/06/25(木) 11:19:44.50ID:0p77+Zef
>>259
スタサプのCMじゃないけど、ネイティブのしゃべる英語は
アクセントのストレス位置しか発音していないからな。

Ni-MH(Nickel?metal hydride :ニッケル水素電池)とか
「にっかめっとへっどら」に聴こえるw
0262774ワット発電中さん
垢版 |
2020/06/25(木) 11:25:35.21ID:0p77+Zef
世界中で英語を話す人口が増えれば増えるほど、
イギリス人アメリカ人等いわゆるネイティブのしゃべる英語が
分かりにくくマイナーなものになるという悲劇(喜劇)が起こる。

日本人の英語発言は国際会議などでは聴き取りやすいと
(母国語が非英語の)各国から評判が良い、という話もある。
0263774ワット発電中さん
垢版 |
2020/06/25(木) 12:05:36.77ID:fyBjW9T3
日本で教えてるのが Queen's English だからじゃないの
0265774ワット発電中さん
垢版 |
2020/06/25(木) 19:42:02.96ID:YyPfXKo7
中華spartan6の安いボードSDram付き
使ったことのある人いる?
2000円くらいのやつ
0266774ワット発電中さん
垢版 |
2020/06/25(木) 20:51:38.45ID:O88EVYbc
XC6SLX16 / MT48LC16M16: $22.3
動いてるよ

中華品は、当り外れが激しいからな
仮に、同じところでまた買ったとしても、それが動くかどうかは運次第
0270774ワット発電中さん
垢版 |
2020/06/26(金) 09:24:43.37ID:HRBgLSyV
spartan6しか使わないと割り切って、
Windows10でISE14.7を使いたい。

現在の、廉価FPGAって、Xilinxだと何になるの?
spartan6でいいのかな?
0271774ワット発電中さん
垢版 |
2020/06/26(金) 11:15:07.58ID:ZpE3FA3T
Spartan7だよん
0273774ワット発電中さん
垢版 |
2020/06/26(金) 13:46:27.92ID:JIpumWSa
むしろFPGA用にXPをまだ残してる
0274774ワット発電中さん
垢版 |
2020/06/26(金) 14:11:24.18ID:HUo/A/iD
正規ルートで買うならS7
中華ルートでよければS6かA7
lcscもかなり安い
0275774ワット発電中さん
垢版 |
2020/06/26(金) 14:36:45.05ID:fBZisshZ
コロナの前でも、到着まで一ヶ月だったからな
今は どれだけの時間が掛かるか判らん、中華品
0276774ワット発電中さん
垢版 |
2020/06/26(金) 18:27:01.85ID:og14eZ/G
xilinxから「もうS6はやめてくれ!」って言われてるよ
売れてるデバイスだけどツールサポート考えると負債なんだろうな
0277774ワット発電中さん
垢版 |
2020/06/26(金) 18:43:33.92ID:spk0+KMx
CPLDも含めて、製造中止に強いプログラマブルデバイス、みたいな言われ方もしたことがあったのにな。
0278774ワット発電中さん
垢版 |
2020/06/26(金) 18:47:44.88ID:A+y1e151
デバイスの乗換自体はそこまで大変じゃないからな…

だけど悲しいかな
基板設計や実装が大変な時代になってしまったので…
0279774ワット発電中さん
垢版 |
2020/06/26(金) 18:53:06.00ID:spk0+KMx
ロジックや回路の設計自体は横においておいて、
製造中の基板の部品を載せ替えるとなったら、基板の費用も、試験も、客先承認も、とめっちゃ厄介だし。
0281774ワット発電中さん
垢版 |
2020/06/26(金) 23:59:33.30ID:spk0+KMx
>売れてるデバイスだけどツールサポート考えると負債なんだろうな

旧ツールのサポートをやめるために、デバイスを打ち切るってちょっと考えにくい。
実際のところは、デバイスの儲けも悪かったんじゃないのかな。
インテルも小規模デバイスからはフェードアウトしそうだし。
0282774ワット発電中さん
垢版 |
2020/06/27(土) 00:29:20.62ID:iV5sPHTt
スパルタン6はまだ大丈夫だろ
2027年までは製造するってメールに書いてあったぞ

新規設計は間違いなく非推奨だが
0288774ワット発電中さん
垢版 |
2020/06/27(土) 12:50:50.93ID:PgKdzX9P
> Spartan-6 デバイス. 少なくとも 2027 年までの出荷が保証

公式:日本語でのアナウンスで↑だからな
日本の感覚では、この文面から 2028年:即出荷停止 になるとはとても思えない

Spartan-3 じゃ手狭だが、Spartan-6なら間に合う
Spartan-6より安いなら代替も有りだが、そうでもなければ Spartan-7の規模はいらない
こんな処だな
0290774ワット発電中さん
垢版 |
2020/06/27(土) 16:10:19.95ID:pzRiPmp4
値段安くて使いやすければ大規模でもいいよ。スカスカで使うから。
0292774ワット発電中さん
垢版 |
2020/06/27(土) 19:35:00.10ID:iV5sPHTt
最近はMAX10かCyclone10LPばかり使ってるな
容量が幅広くて使いやすい

自分にとってザイのはでかすぎる、ラティスは小さすぎる
0293774ワット発電中さん
垢版 |
2020/06/27(土) 20:51:35.77ID:6EHhKUvk
MAX10で外付けなしで3.3Vのみで動くのは使いやすすぎ。
これで100ピンや64ピンがあるといいのに。
0294774ワット発電中さん
垢版 |
2020/06/27(土) 21:02:47.55ID:fIvhwYrX
Cyclone 10 LP、リネーム商法の真骨頂だな
名称は 5 -> 10 にぶっ飛んだが、プロセスは逆に2世代ほど逆戻り

開発に力を割かずに、こう言う馬鹿な事ばかりしてるから会社が傾くわけだよ
0301774ワット発電中さん
垢版 |
2020/06/29(月) 20:55:37.87ID:XtVGq6lM
基板設計するとき使いやすくてmax10使ってるが、レパートリー増やしたくて他に何にしようか迷ってる

cyclone10lpとかeqfpあって良さそうだけど、何か他にオススメあります?
0303774ワット発電中さん
垢版 |
2020/06/30(火) 02:42:52.08ID:9sY3RXlJ
会社行きたくない会社行きたくない会社行きたくない会社行きたくない…
0304774ワット発電中さん
垢版 |
2020/06/30(火) 12:50:01.96ID:2fWBJDCF
はたらいたらまけ
0306774ワット発電中さん
垢版 |
2020/06/30(火) 17:21:25.93ID:HNX003/i
篠沢教授に3,000点
0307774ワット発電中さん
垢版 |
2020/07/01(水) 19:30:08.31ID:RxIXf25g
MipsologyのZebraというのは有名ですか?
0308774ワット発電中さん
垢版 |
2020/07/01(水) 21:24:21.95ID:ERvvW23a
いやー、知りませんでしたわ
お値段おいくらでしょうか?
0309774ワット発電中さん
垢版 |
2020/07/07(火) 01:08:49.86ID:iy6pyndz
Max10を電圧変換して5Vで動かそうとしてるが、誤動作しまくる。対策ないか?
0310774ワット発電中さん
垢版 |
2020/07/07(火) 02:17:42.21ID:24+LBat2
会社怖い会社怖い会社怖い会社怖い会社行きたくない会社行きたくない会社行きたくない会社行きたくない
0311774ワット発電中さん
垢版 |
2020/07/07(火) 06:41:55.44ID:8qWp7FHo
何を使って電圧変換してるの?
0314774ワット発電中さん
垢版 |
2020/07/08(水) 13:30:30.00ID:IBnE/u9E
>>311
電圧返還チップ、TIの双方向スルーのやつ。
0.1ufをチップのすべての電源に入れてる。あと10uFをメインに何個か。

多分切り替わりのスピードが速すぎてノイズが乗るのだと思う。
スルーレイト調整する方法はないか? と思っていろいろ調べたがみつからなかった。
外付けの電圧返還チップも無茶高速なので、外部から返還チップを経由して入ってくる信号が
 スイッチングするときにノイズが乗るのだろうか?

考えてみたこと
1)単独で誤動作するか? 今までの経験からあり得ない。だとすると、返還チップが悪さしているに
違いない。
2)だったら5V側からのアクセスをやめて単独でテストしてどうかを確認する。
 もし5V側からのアクセスでノイズが乗っていてFPGAを誤動作させるのであれば、そのラインにだけ
 対策をする。
3)しかしFPGA基盤側は基板が小さいので対策は無理。5v側にはゆとりがあるので改造は可能だ。
5V側出力のスルーレイトを遅くしても効果があるはずだ。
0315774ワット発電中さん
垢版 |
2020/07/08(水) 14:19:50.01ID:usK6697a
I/O H/Lを切り替えるとき、引っ張り合いをして勝たんとあかんタイプやな。
内気な素子ではよう扱えんで。
FPGAの出力は最大ドライブモード 入力のぷるうぷだうそは禁物や
0316774ワット発電中さん
垢版 |
2020/07/08(水) 22:24:17.02ID:domtB+3m
Spartan7 に DDR3-SDRAM を設計しました。DDR3を使う基板は初めてです。
FPGAは問題なく動作するのですが、DDR3がうまく動かず、どこを確認したら良いか
全然わからず、基板をかなり小さく作ったのでプローブをあてる場所もなく途方にくれています。
回路が悪いのか、基板が悪いのか、FPGAの回路が悪いのか、絞れない・・・。
まずは回路図に問題があるかどうか知りたいのですが、もし回路図アップしたら軽く見ていただくことは可能でしょうか。
0318774ワット発電中さん
垢版 |
2020/07/09(木) 00:58:40.33ID:hK73X2Qx
とりあえず見せてみろよー
(アドバイスできるとは言ってない)
0319774ワット発電中さん
垢版 |
2020/07/09(木) 01:34:26.18ID:CnTU4DBl
>>315
>I/O H/Lを切り替えるとき、引っ張り合いをして勝たんとあかんタイプやな。
これどういう意味ですか?
I/O入出力を切り替えする場合ってことですか? じゃあ双方向バスで使う場合はやばいって
ことですか?

>内気な素子ではよう扱えんで。
内気な素子とは?
内向性・外向性みたいなことがあるん?

>FPGAの出力は最大ドライブモード
 なるほど、最大ドライブモードにするということですね

>入力のぷるうぷだうそは禁物や
これはどういう意味だろう。入力のプルアップダウンは禁物ってことですよね。
この入力っていうのはFPGAの入力のこと? なぜプルアップダウンが禁止なの?
ファンアウトが足りなくなるってこと?
0320774ワット発電中さん
垢版 |
2020/07/09(木) 08:16:19.21ID:9nOiWrtE
>>314が変換デバイスの型式を書いてないのは不思議。なんで書かないの?

TIの方向を決めなくていい、スルーの変換デバイスは自己ラッチみたいなスタイルのと(TXB0304)
Nch MOS-FETみたいなスタイルのと(TXS0108)2種類はあるし、それぞれで動作は違う。

後者は、変換デバイスの入力はプルアップが原則。

前者は、ドライブ側が自己ラッチの出力抵抗に勝ってH/Lを決める必要がある。
あらためて、TXB0304のデータシートを見てみたら、自己ラッチの出力抵抗が1kΩだ。
・この変換デバイスを外部で(例だから極端にしてみて)1kΩでプルアップしたら、変換デバイスがそのピンにLを出力しても、Lにならず中点電圧になってしまう。
・この変換デバイスを外部から駆動するとき、そのピンが変換デバイスでLに駆動されていたら、外部から駆動するデバイスは、数100Ω以下でHに駆動しないと、Hにならない。
0322774ワット発電中さん
垢版 |
2020/07/09(木) 09:52:46.54ID:VDQhVa+G
日本語っぽく観えるけど
ネイティブ日本人じゃない人が書いたような日本語
0325774ワット発電中さん
垢版 |
2020/07/09(木) 12:36:46.50ID:CnTU4DBl
>>320
あ、ごめん。仕様は以下の通りです。

電圧変換
 TXS0108E  2電源タイプVCCB 5V VCCA 3.3V
双方向にコントロール信号なしで使えるので非常に便利。(動けば、、、)

MAX側
 MAXは10M08SCE144A7G 電源VCC_XXXは全部3.3Vにしている。
10uFをバンクごとに入れて全部で5個、パスコンは全電源ピンに0.1uFを31個
IOのプルアップは無し。

5V側
 10k〜22Kでプルアップしてるところがある。

なんか根本的に間違ってるんだろうか?
内部ロジックは大したことない量なので、内部のRAMをロジアナ(チップスコープ)のメモリにして
デバッグしてます。まるっきり動かないわけではないけれども、誤動作しまくる。
0326774ワット発電中さん
垢版 |
2020/07/09(木) 12:37:41.43ID:MxftQItQ
>>316
自分も今年動かなくて苦戦した
まずはZQcalibrationが成功したか確認すべし
0327774ワット発電中さん
垢版 |
2020/07/09(木) 16:32:04.77ID:drT42ra7
DDR系は、トレーニングとか うんざりするヤツが漏れなく付いてくるからな
パラメータが山のようにあって、それだけでもう一仕事

今はどうか知らんけど
箱から合成させると、トレーニング ただその為だけに "XilinxならMicroBlaze" / "Alterra ならNios"
を吐き出してたな
DDR系 必須の用途ならやむを得ないが、そうじゃなきゃSDRを選んでた方が利口(大は小を兼ねない)

容量は不要だから、俺は キャリブレーション無しでSDRとして使う といった強者も見たな
コレ見たときは、やるなぁ〜と思った
0330774ワット発電中さん
垢版 |
2020/07/10(金) 10:50:48.83ID:JS9o6ABU
>>328
お城で触るのは基盤が小さすぎて無理かな? しかし見れるところもあるかもしれない。
それは検討に値します。
双方向バスが切り替え時にぶっつかるということですね。
ただOEコントロールは今はしていないです。入力も出力も両方あるけれでも、電源立ち上げ時に
決定して固定です。 電源立ち上がりの時だけはOEを殺してます。
0331774ワット発電中さん
垢版 |
2020/07/10(金) 11:34:25.53ID:6hLIPbF4
現在は、Verilogなどが主流ですが、
FPGAを、回路図で書いていた時代があると聞きました。
昭和何年頃のことでしょうか?
0332774ワット発電中さん
垢版 |
2020/07/10(金) 11:37:59.57ID:cdNnvDFW
63とか64くらいはGALとかCPLDじゃなかったかな
0334774ワット発電中さん
垢版 |
2020/07/10(金) 11:51:10.25ID:JPLPp17A
Zilog80は手描き
0336774ワット発電中さん
垢版 |
2020/07/10(金) 12:38:05.28ID:C/ah+M+K
今でも回路図入力を使ってる人はいるよ。
開発ツールがサポートしているのは、一定の需要があるから。
0337774ワット発電中さん
垢版 |
2020/07/10(金) 13:05:59.75ID:z4DxNq65
主流だったのはいつかという話で
今も使われてるかって話じゃないよね
0338774ワット発電中さん
垢版 |
2020/07/10(金) 13:19:42.79ID:C/ah+M+K
主流という言い方なら、回路図入力が主流であった時代ってあったのかな。
初期のものでもPAL以降、PALASM、ABELみたいな言語で作ることが多かったと思う。

ゲートアレイとかは事情が違ったろうけど。
0340774ワット発電中さん
垢版 |
2020/07/10(金) 17:19:31.18ID:BC05Ef+y
うちの会社では平成2年の入社の人が回路図でFPGA設計してたと言ってたけど
0341774ワット発電中さん
垢版 |
2020/07/10(金) 18:48:51.80ID:C/ah+M+K
>>340
既存の74タイプの標準ロジックからの回路の置き換えだったらそれが楽だしね。
0342774ワット発電中さん
垢版 |
2020/07/10(金) 19:21:03.01ID:19DtB6b2
こんにちは
SoCなFPGAの設計の勉強をしたいのですが、
FPGAプログラミング大全+ (Zybo or Arty)
でイイですか?
verilogやCを使って高位合成も少しカジれると
イイです

他にオヌヌメ有りますでしょうか?
金欠な学生なのでこれより高いのは厳しいです…
0343774ワット発電中さん
垢版 |
2020/07/10(金) 19:38:18.35ID:MW98RbZ5
OE固定にして、入力ならともかく出力までしているとかどんなデザインレビューをしているんだろう
0344774ワット発電中さん
垢版 |
2020/07/10(金) 20:12:00.17ID:Dv7yzKJ8
>>342
それだとちょっと古いので今からやるならUltra96だと思うけど、易しい解説本とかあったかなあ
たぶんここよりtwitterでハッシュタグ付けて聞いたほうがレスポンスあると思う
0345774ワット発電中さん
垢版 |
2020/07/10(金) 20:35:53.01ID:19DtB6b2
>>344
早速の御アドバイスありがとうございます!
調べてみたところ、ultra96の方が新しくてAIの勉強も出来るんですかね
プログラミング大全の内容が易しそうなんですけど、ultra96に読み替えて出来るとイイんですけどね、そこまでやり切れるか…^_^;
もう少し調べてみます
少し予算オーバー^_^;ですけど、アカデミック価格もあるのか調べてみます
0346774ワット発電中さん
垢版 |
2020/07/10(金) 20:42:16.03ID:khYFUnB0
>>343
スリーステートは OR になるとかあったような。
ゲートアレイはスリーステート使えるやつもあった。
0348774ワット発電中さん
垢版 |
2020/07/10(金) 21:47:23.21ID:CbBgZRmM
>>343

>320 が説明してる通り、コンフリクトが起こることを前提としたデバイスだよ
使った本人がそれを理解したうえで選択したかどうか、それは疑わしいが・・・
データシートも見ずに人にモノ言い、しかも間違えてる。
オマエこそだろ
0349774ワット発電中さん
垢版 |
2020/07/10(金) 22:15:07.91ID:FNIv+THI
DRAM,シーケンサで位相合わせとか
そっから作ったの?
DramはコアジェネとかIPでやったけど
serdesつこうたのはADCでやった
これのときはトレーニングからシーケンサ組んでやったが
0350774ワット発電中さん
垢版 |
2020/07/10(金) 22:24:11.96ID:C/ah+M+K
>>348
>>320
>Nch MOS-FETみたいなスタイルのと(TXS0108)
こっちはコンフリクト(?)前提ではなかろうし。
とは言っても

↓これは意味がわからない。
>>343
>OE固定にして、入力ならともかく出力までしているとかどんなデザインレビューをしているんだろう
むかしからのデータバスでも作らない限り、出力ピンはほとんどがOEの制御なしで出力しっぱなしなんだけどな。
0353774ワット発電中さん
垢版 |
2020/07/11(土) 02:20:01.95ID:17RwU7LQ
英語くらい知ってるよ
アメリカやイギリスで使われている言語のことでしょ
0357774ワット発電中さん
垢版 |
2020/07/11(土) 10:22:39.03ID:fkd3W9ID
TXS0108Eは、一般的なNch-MOS FET+プルアップのトランスレータ

たとえば
https://s3-ap-northeast-1.amazonaws.com/switch-science.public/schematic/FET_LEVEL_SHIFTER/fet_level_shifter.pdf

に、遷移時の補助ドライバ( One-shot Accelerator) を付け加えたものだと思う。

>>352のD0がLに落ちる前にISAバス側がふわっと上がってるとき、他のDバスの信号が先にHに遷移してる。
これがD0の補助ドライバを誤動作させてるとか、それに加えてISAバス側のD線の静電容量が大きいとかがあるのかも。
0358774ワット発電中さん
垢版 |
2020/07/11(土) 10:47:36.31ID:fkd3W9ID
よく見たら、>>352の最初の波形写真の、D0の1個目の怪しい動きのタイミング付近で
プロセッサ側のD7,6,2,0の立ち下がりのタイミングでD3にヒゲが入ってる。
FPGA側にはこんな信号はないような気がする。
TXS0108Eの電源が弱いとか、配線の線間で混じりやすいとか、実装上の問題もあるかも。
0359774ワット発電中さん
垢版 |
2020/07/11(土) 12:46:21.74ID:xv0jEIIt
yellow: read / green: write
blue: D0 Processor-side (5V)
pink: D0 FPGA-side (3.3V)

TXS0108Eの出力インピーダンスが高いんで、Processor側のバスをドライブしきれない。
赤まるで囲んだ処だけじゃなく、他のもう一箇所も怪しい

共通しているのは、最初のリード。(この時点でドライブ方向 A<->B が変わった)
2回目以降は、既に方向が変わった後なのでなんとか動いてる
外部からの方向制御が無いヤツなんで、こんな風に振舞うよ

CPU側のバスは重くて(沢山ぶら下がってる)、FPGA側は軽いんだろ(FPGA以外は何も繋がってないとか)
一般的な使用例ではそうだわな

方向制御に手を抜いてパーツを選択した、その結果の代償
本人は、良い勉強なったろ
0360774ワット発電中さん
垢版 |
2020/07/11(土) 13:13:04.29ID:fkd3W9ID
TXS0108Eだよね?
>>359
>この時点でドライブ方向 A<->B が変わった

TXS0108E自体にドライブ方向という概念があるんだろうか。
0361774ワット発電中さん
垢版 |
2020/07/11(土) 13:31:03.90ID:fkd3W9ID
>>359
>yellow: read / green: write
>blue: D0 Processor-side (5V)
>pink: D0 FPGA-side (3.3V)

青は5Vサイドなんだけど、デコードされたライト(緑)がHのときも5Vは振ってないように見える。
0362774ワット発電中さん
垢版 |
2020/07/11(土) 13:37:04.48ID:fkd3W9ID
もしかしたら、赤丸のところのプロセッサ側D0ふわっと上がってるのは、
どちらからのバスもハイインピーダンスになっていてそれで5Vへのプルアップで上がっているせい?
0363774ワット発電中さん
垢版 |
2020/07/11(土) 13:58:08.88ID:WVb4SjmO
双方向端子
誰がマスターになってFPGAが入力にするか出力にするか制御してんの?
それしてないで、信号ぶつかって化けてるって騒いでいるだけじゃないの?
0364774ワット発電中さん
垢版 |
2020/07/11(土) 14:14:22.03ID:fkd3W9ID
>>363
普通に考えたらプロセッサ側は少なくとも自分がライトするときにはデータバスを駆動するだろうし、
FPGA側の作りとしては、外部からRead信号を受けたときにデータバスを駆動するでしょね。(実際そのように書かれているように見えます)


あなたが書かれているようにリードタイミングでアドレスデコードの遅れとかで、ISAバスのほかのデバイスがHを出しているとかかな。
時間とともに問題がなくなるというのはタイミングが変わるのかもしれないし。
0366774ワット発電中さん
垢版 |
2020/07/11(土) 16:36:41.49ID:fkd3W9ID
>>365
>確認してねーのか!
誰に言ってるんだろう。
この回路図の人は他のデバイスのアドレスデコードの方法まで書いてないよ。(と思う。読み落としてるかも)
0370774ワット発電中さん
垢版 |
2020/07/12(日) 08:45:51.76ID:szzxlGwA
vivadoとかQuartusのエディタがゴミクズなのでVisyal Studioで開発できるようにして欲しい
MSがBingのサーバでFPGA採用したときの発表でMS社員がVisualStudio使ってコード書いてたんだよな
社内専用で一般公開予定はないと言ってたけど公開して欲しい
0371774ワット発電中さん
垢版 |
2020/07/12(日) 10:58:06.79ID:IR8S4EpO
Visual Studioのエディタそんなに使いやすいの?
全部起動遅い印象あるし、いっそviとmakeでいいじゃんって思ってんだけど
0373774ワット発電中さん
垢版 |
2020/07/12(日) 12:11:50.34ID:WdOeHLAJ
お前はそうすりゃいいじゃん
誰もおまえにVSCode使えなんて言ってないし
0374774ワット発電中さん
垢版 |
2020/07/12(日) 12:13:56.46ID:8jfJ+2Hf
>>372
+1
0375774ワット発電中さん
垢版 |
2020/07/12(日) 12:33:48.63ID:5vUAzlNZ
sakuraでいい

FPGA開発で、VsCodeをなぜ使わないんだと言ってくる奴何かのコミュ症なんじゃないかと個人的には思っている。めんどくさい
0376774ワット発電中さん
垢版 |
2020/07/12(日) 12:42:22.78ID:xph0hJ2i
Visual Studio のエディタと Visual Studio Code は別だけど、混同してちゃ嫌ですよ。
0382774ワット発電中さん
垢版 |
2020/07/13(月) 09:41:47.26ID:Zaqym0hi
はたらいたらまけ
0385774ワット発電中さん
垢版 |
2020/07/13(月) 21:03:40.29ID:SenQmNlt
>>382
何回でも負けてあげるから、たまには勝たせてほしい
1年ほどの長期休暇が欲しい
0386774ワット発電中さん
垢版 |
2020/07/13(月) 21:17:45.49ID:xCTq0Kn+
ハードウェア業界ってみんなテレワークとかしてる?

FPGAだけならともかく基板とかも触らないといけないから
なかなかテレワークとかできないんだけど
0389774ワット発電中さん
垢版 |
2020/07/13(月) 23:43:37.94ID:mSMDOmGT
会社によっては機材の持ち出しがNGだったりするしね。セキュリティとかで。

「設計とコーディングならFPGAもファームも実機なしのパソコンだけでできるだろう。持ち帰ってやれ」
って考える管理職の人もいるそうで、それはそれで辛いだろな。
0391774ワット発電中さん
垢版 |
2020/07/14(火) 08:11:49.25ID:X36skQZD
「Arty S7」の拡張ピンを経由して、Spartan7 にHDMIコネクタを直結してHDMIモニターに画像を出しています。
「Arty S7」の電源を切ってモニターの電源を入れっぱなしにしていると モニター側から「Arty S7」側に電流が
流れ込んでLEDが光っぱなしになります。

HDMIコネクタの18ピン(+5V)や他の信号の電気的接続をカットし、
TMDS0, TMDS1, TMDS2, TMDS_CLK, GND だけ接続した状態にしても状態は変わりません。
TMDS系の信号から電流が流れ込んでいるようですが、このまま放置しても良いか、間になにかの対策を
入れるべきか、どうすればいいでしょうか。
0394774ワット発電中さん
垢版 |
2020/07/15(水) 08:24:56.64ID:7Fq1YLV0
家にオシロやロジアナや安定化電源やスペアナくらいはあるでしょ
0398774ワット発電中さん
垢版 |
2020/07/16(木) 09:37:09.28ID:JuNsQ4HU
24Mhz8chのUSBロジアナ安いからオススメ
1000円しない
ぱちもんだけど
0400774ワット発電中さん
垢版 |
2020/07/16(木) 18:08:58.13ID:LASmrXI4
仕事行きたくない仕事行きたくない仕事行きたくないよ
一体どうすれば勝ち組ニートになれるの教えてFPGAで機械学習を極めた人
0401774ワット発電中さん
垢版 |
2020/07/16(木) 18:34:49.20ID:MTXNeNMU
機械学習で自分と同等の能力を持たせてやってそいつに在宅勤務させればいいじゃん
0402774ワット発電中さん
垢版 |
2020/07/16(木) 20:08:12.79ID:/dcMFYgf
私と同等の能力だったらいつクビになるか分からないでしょうが!(>_<;)
0403774ワット発電中さん
垢版 |
2020/07/16(木) 23:08:29.40ID:DD0zY5gm
FPGAフリークなら、ロジアナぐらいそのへんに転がってるFPGAの評価基板で作るんじゃないの?
0404774ワット発電中さん
垢版 |
2020/07/16(木) 23:10:40.01ID:876XRA2n
そのレベルのFPGAフリークが、一体、日本にどれだけいるというのだ…
0405774ワット発電中さん
垢版 |
2020/07/16(木) 23:13:56.63ID:X9bFsJKI
ゼロから作るの面倒
ロジアナに食わせるめんどくさいトリガ作る位かな
0406774ワット発電中さん
垢版 |
2020/07/16(木) 23:28:43.94ID:DD0zY5gm
sigrokあたりとインターフェースが取れるなら、表示とかプロトコル解析は
そっちに任せられるんだけど、そのあたりがわからない。
0407774ワット発電中さん
垢版 |
2020/07/17(金) 00:47:05.78ID:5Hjg0ifD
そもそもFPGAでロジアナ使うことほぼ無いけど
signaltapとchipscopeあれば十分
0409774ワット発電中さん
垢版 |
2020/07/17(金) 12:21:40.51ID:lY434f4A
FPGAをASIC化について質問があります

現在、製品に使っているFPGAのASIC化を依頼したところ800万円ほどの見積書をいただきました。

これ一般的に高いですよね?
内容によると思いますが適正価格とメーカー名を教えていただきたいです。


自分の会社では昔から近くの地元メーカーに制作を依頼しており、値段の感覚がおかしくなっております。
(プリント板の試作(100*1000四層30枚)に20万をかけていた等)
0416774ワット発電中さん
垢版 |
2020/07/17(金) 18:55:05.13ID:sz4yTpDP
>>409
このスレは使うの専門だから
製造依頼とか詳しい人いないと思うわ

大人しく見積依頼しまくるか、先輩たちに相談するべき
0417774ワット発電中さん
垢版 |
2020/07/17(金) 19:14:46.37ID:I8ws42q/
プロセスと規模にもよるけど高過ぎとは思わないかな。
0418774ワット発電中さん
垢版 |
2020/07/17(金) 19:44:43.98ID:VPLglGYe
内容によるけど800万円は適正か安いくらい
釣りじゃなければ高いと思うほうがおかしい
0419774ワット発電中さん
垢版 |
2020/07/17(金) 19:53:59.89ID:LG5M79+2
イニシャルコストなのか
そもそも月産の予定はどれくらいなのか

一切情報らしい内容も無いしな…
0420774ワット発電中さん
垢版 |
2020/07/17(金) 21:12:53.88ID:85vkIfa1
800万円というと、0.18umをフルマスクで試作というイメージ。
0421774ワット発電中さん
垢版 |
2020/07/17(金) 21:16:04.49ID:wqEHtHf8
ASIC化したくないASIC化したくないASIC化したくないってことだろ素直に上司にそう言おうぜ
0422774ワット発電中さん
垢版 |
2020/07/17(金) 22:18:34.69ID:Gfab9/UE
28nmマスク80枚で5億円(ウォンじゃないよ)って言われた。マスク代だけだけど。
0423774ワット発電中さん
垢版 |
2020/07/17(金) 23:17:38.61ID:HlJftFyG
ななしっくす無職のクセに聞く態度がなってねーんだよ
流石天下の精神病棟だな
おまえはもう必要ないから
違うIDにして発言してこいよ
いつものようになゲラゲラ


ななしっくす ムショクカァ
悔しい悔しいクスクスクスクス

ナンダァキサマムショクカァ
ハイショーッ!
ゲラゲラゲラゲラ!

おまえは使えないから、さっさと違うななしっくすモッテコイや

精神病棟に沢山の精神病患者飼ってるのに、取り替える人材すらいないとか流石底辺の精神病棟会社だな

カネが無いから取り替える人員がいないのか。
多重人格がおまえの得意技だろうがゲラゲラ
0424774ワット発電中さん
垢版 |
2020/07/18(土) 00:41:40.57ID:50XUW/Rf
intelが買収したけどeASICって本当に安いのかな?

昔客先で使ってて話聞いたけど
マスクが1枚で済むから安いとか
0425774ワット発電中さん
垢版 |
2020/07/18(土) 04:19:20.52ID:u/lJqkkj
ASICやりたくないFPGAやりたくないCPLDやりたくないついでにGPUとかスパコンも嫌だよぅ
0429774ワット発電中さん
垢版 |
2020/07/18(土) 22:26:18.51ID:aK7GOB2Q
なんか舌の調子がおかしい、味を感じない
昼食に舌がしびれるくらいニンニクを山盛りしたけど、きっとそれは関係なくてコロナのせいだから、月曜は会社を休んで保健所に連絡だな
0430774ワット発電中さん
垢版 |
2020/07/19(日) 00:02:00.72ID:ga85isJv
そこで超々激辛カレーですよ
四月にやったヤツは舌はバカになってたけど胃が痛くなったらしい
0431774ワット発電中さん
垢版 |
2020/07/19(日) 10:49:33.86ID:ZVC239aX
>>429
良く判らんけど
おめでとう!
って言えば良いのかな?
0433774ワット発電中さん
垢版 |
2020/07/19(日) 22:30:08.70ID:6oh2axD6
時間が経って味覚が戻りつつあるんだけど、どうすればいい?
0435774ワット発電中さん
垢版 |
2020/07/20(月) 07:18:06.16ID:4IFhyxKo
アパートの隣の部屋の人がベランダでタバコばかり吸っています
煙がこっちに流れてきて臭いが付くので迷惑しています

そこで、日本を背負って立つ凄腕エンジニアの皆さんなら、どうやってFPGAを使いますか?
0436774ワット発電中さん
垢版 |
2020/07/20(月) 10:37:55.64ID:FRQi2q3Y
大家に連絡
吸い殻の処理が心配
火事になるって言えば良い
0439774ワット発電中さん
垢版 |
2020/07/20(月) 13:16:53.31ID:0p8FRD2h
臭いセンサーでタバコの臭い検知したらお引っ越しおばさんのBGM流す
0441774ワット発電中さん
垢版 |
2020/07/20(月) 18:29:42.07ID:jN/T/A09
FPGAにカメラと機関銃を取り付けて、画像認識によってタバコを検出したら照準・掃射
0442774ワット発電中さん
垢版 |
2020/07/20(月) 20:36:29.78ID:zd+qaDa6
うむ、日本を背負って立つ凄腕エンジニアならそのくらい朝飯前
0444774ワット発電中さん
垢版 |
2020/07/21(火) 00:32:22.22ID:PQHE1OrU
その女子高生の脳にFPGAチップをぶっさしてマインドコントロールだな
0445774ワット発電中さん
垢版 |
2020/07/21(火) 01:35:00.27ID:Ef25Lfvc
仕事行きたくない仕事行きたくない仕事行きたくないとにかく仕事行きたくない…

お願いだ、働かなくても老衰まで生きていける世界をFPGAで創り出してくれ
0447774ワット発電中さん
垢版 |
2020/07/21(火) 07:37:40.06ID:M9nDL4S5
健康的な若者でも使いやすくなるように受給資格を緩和してくれ
0449774ワット発電中さん
垢版 |
2020/07/21(火) 12:27:45.83ID:g7ld4FO2
なぜお前らが現在、ナマポを受給できていないのか
これまでのお前らの人生を省みるんだな、自業自得だ
0450774ワット発電中さん
垢版 |
2020/07/21(火) 17:51:56.86ID:bkGeFHCX
ナマポで悠々自適な日々を送っているお前ら

毎日一生懸命働いているのに、健康で文化的な最低限度の生活すら満足にできない俺
0452774ワット発電中さん
垢版 |
2020/07/21(火) 21:47:48.53ID:VJpPitkW
毎日8時間もオフィスに拘束されている時点で、すでに健康でも文化的でもないと思う
だから在宅させてくれ
0454774ワット発電中さん
垢版 |
2020/07/21(火) 22:37:01.70ID:zfPQ/kcA
なんでわしverilog VHDL出来て時給1100円で派遣されてたんだろ
ナマポの方がよかった
0455774ワット発電中さん
垢版 |
2020/07/21(火) 22:44:54.91ID:9q2AJs+3
リホリホが来てくれても、私にナマポの受給資格はないんですよ

資産:あり
収入:あり
扶養してくれそうな親族:あり

実態は負け組なのにね
0456774ワット発電中さん
垢版 |
2020/07/21(火) 23:45:49.33ID:zfPQ/kcA
金もださねえのにFPGAもできない奴が仕事しろというのは、バカニシテ自分でやれやボケナス言うた方がええで。
0457774ワット発電中さん
垢版 |
2020/07/22(水) 01:02:58.46ID:OgaopV2O
いやぁ…
まあ言ってみたいけど、怒りに任せてそんなことを言ったところで、健康で文化的な最低限度の生活ができるようになるわけじゃないから…
0458774ワット発電中さん
垢版 |
2020/07/22(水) 01:11:03.95ID:OgaopV2O
受給資格を得るために、FPGAを使ってできることはないか
FPGA評価ボードを大量に買って資産(家とか金とか)がなくなったら、受給資格が得られるかな
0459774ワット発電中さん
垢版 |
2020/07/22(水) 09:58:44.24ID:LeVEbUTU
FPGAで、年収1千万円以上ある一軒家持ちの女性の紐になるにはどうしたらいいでしょう
0460774ワット発電中さん
垢版 |
2020/07/22(水) 10:07:12.41ID:luB3BhVf
FPGAで沸かした風呂に入れ
0461774ワット発電中さん
垢版 |
2020/07/22(水) 19:40:39.38ID:mOwGC0ef
このスレのFPGAフリークなら、手持ちのFPGAを全部売ったら数千万円は下らないだろうから、その金でコールドスリープ

数百年後には誰でも年収1千万円以上ある一軒家持ちばかりになっているので、その中でお前を養ってくれる女性を見つける
0466774ワット発電中さん
垢版 |
2020/07/22(水) 22:05:54.53ID:3PW26JOo
うむ、このスレのFPGAフリークならハイエンドFPGAボードの100個や200個くらい当然持っている
0467774ワット発電中さん
垢版 |
2020/07/22(水) 22:22:21.29ID:5py/D4el
そのハイエンドFPGAのクラスタで、トレーディングが上手でかつ>>459を甘やかしてくれる女性型の人工知能を構築すれば…
0468774ワット発電中さん
垢版 |
2020/07/23(木) 00:24:54.47ID:DaDPxbAI
100万円以下のFPGAボードでナマポゲットする方法はないでしょうか
0469774ワット発電中さん
垢版 |
2020/07/23(木) 05:54:52.64ID:h03WMoSJ
>>328
あれから机にうつ伏せて寝ていたら夢でお告げがあって、其のとおりにしたら何も問題なく動くようになりました。
あれだけ訳わからないほど中途半端に誤動作していたのに、抵抗を一本つけただけで非常に正確に堅牢に動作するようになりました。
複雑なロジックにも係わらず何一つ手直しすることなく、つまりRTLデバッグのみで完璧に一発で動作しました。
TXS0108E
このチップになにか不安定な要素があるような誤解を受けられたらTIさんに申し訳ないので事後報告しておきます。
このチップは使いやすさという点では完璧でなにも問題ないようです。
 ただ、抵抗一本といえども高密度(1005)なので自分のはんだ付け技術ではパターンをカットして追加などという芸当は
不可能なのですが、たまたま其の抵抗をつけられるように予めパターンは準備していたので、事なきを得ました。
一時はどうなることかと心配しました。開発にかけた費用が回収できないとすると非常に辛い。設計している時は
殆ど気にしませんが、いざ動かす段になるといつもいつも冷や汗を書いているような気がします。
FPGAに限らず最近の高密度のハード設計はなんともリスクの高い仕事ではあります。
0473774ワット発電中さん
垢版 |
2020/07/23(木) 19:14:41.01ID:diK7kwsx
ここまで騒がせたんだからな
RTLコードと回路図にガーバーも晒さないとな
0475774ワット発電中さん
垢版 |
2020/07/23(木) 21:19:08.12ID:7LjYb2x5
ここまで騒がせたんだしー、FPGAを使ってナマポを受ける方法くらいは考えてもらわないとー
0476774ワット発電中さん
垢版 |
2020/07/24(金) 00:30:03.24ID:Ow7SlwY3
あああああ仕事行きたくない仕事行きたくない仕事行きたくないなんとかしてくれ>>469
0477774ワット発電中さん
垢版 |
2020/07/24(金) 01:26:08.11ID:CivK4UaN
>>476
仕事にいかなければいい。雀ですら仕事をしないで生活しているんだから、いくら脳みそが無いと
いっても雀に比べれれば巨大の脳を持っている。その人間に雀にできることができないことはない。
0479774ワット発電中さん
垢版 |
2020/07/24(金) 07:57:20.19ID:DQCCCoi2
ニートになったことあんの?
雀並みの脳みそで、人間が簡単に生きていけると思ってんの?
0483774ワット発電中さん
垢版 |
2020/07/25(土) 00:26:12.33ID:7iJgPOGw
FPGAと人工知能の研究者はもっと頑張れ

働かなくても食っていける世の中にしてくれ

頼んだぜ
0484774ワット発電中さん
垢版 |
2020/07/25(土) 03:27:59.92ID:ZHLHq1+N
>>478
飛べます。

>>479
空の鳥を見なさい、種も蒔かず、刈り入れもせず、倉に納めもしない
何を食べるか,何を飲むか,何を着るか,などと言って心配するのはやめなさい。 
これらのものはすべて与えられます。
イエス・キリスト
0485774ワット発電中さん
垢版 |
2020/07/25(土) 03:29:21.01ID:ZHLHq1+N
>>483
食うためにそんなものはいらない。イエス・キリストは2000年も前にすでにナマポを予言していた。
0487774ワット発電中さん
垢版 |
2020/07/25(土) 07:10:34.08ID:u7yfTO+7
空の鳥は弱肉強食の世界に生きてるんだけどね…
0488774ワット発電中さん
垢版 |
2020/07/26(日) 17:12:33.04ID:1J/9dnrt
あああああぁぁぁ…
昨日から今朝まで頭痛がひどくて、とうとうコロナかと期待したのに、今ではすっかりおさまってしまった
なんなんだ一体、どうして俺の体は毎週ぬか喜びさせるんだよ
0489774ワット発電中さん
垢版 |
2020/07/26(日) 17:38:51.45ID:8Lt+8A7e
あー、仕事行きたくねー
ファンタジーな異世界に行って、女神の力で女体化して、チヤホヤされながら旅してぇ
0494774ワット発電中さん
垢版 |
2020/07/27(月) 04:31:21.48ID:QddiC+Xa
>>491
それ究極の矛盾だよな。女体への憧れから妖艶な美人になってみたいが、一方で美人を押し倒してやりまくりたい。
しかし問題は美人になった自分が男にやりまくられることをどう捉えたらいいのか?
大概の男はその矛盾を解決できなくてそこから先へ進めない。
せいぜいパンティを集めてコッソリはいてマスタベーションしてみたりする。
だけどこの矛盾を突き抜けて、愛ちゃん?みたいに金玉落として徹底的に美人を目指すのも玉にいる。
しかしその矛盾を突き抜けるのはそう簡単ではない。
 具体的に愛ちゃんがやったのは、まず鉛筆を肛門に入れるところからで、そこから段々と太くしてゼリーを塗った
おナスがスイスイと抜き差しできるところまで訓練した。でもここでのおナスは象徴的にはあくまでも男から切り取った
男根で、その所有者は自分自信だ。だからやはりマスタベーションの域をでない。
愛ちゃんの場合はある時その男根を憧れの女神が手にした。実際には銀座のママで桜さんという人が愛ちゃんが
あまりにも中途ハンパなのに見かねて、お客さんの前でおナスを何度も何度も突き刺して犯した。
それで愛ちゃんは初めて犯される体験をした。それによって自分が手にしていた男根が他者に渡った。
でもこの時点ではまだその男根の持ち主は男ではなかった。ところがそれから数日したある日、映画館で男3人に
丸裸にされて実際に男根を何度も挿入されて犯された。それによって愛ちゃんは初めて女になった。
 つまり矛盾を突き抜けるには2つの壁を乗り越えないといけないということだ。
>>489
まだファンタジーの域をでてないな。
これだからFPGAは難しい。さあ寝よう。
0495774ワット発電中さん
垢版 |
2020/07/27(月) 04:41:07.74ID:QddiC+Xa
あ、一つ忘れてた。肛門はオマンコのように異物を受け入れるようにできていない。だから肛門になにかを入れると
感染とか病気になりやすい。小さくても駄目。三菱鉛筆でもだめ。エイズが同性愛者から広がったのはそれが
原因らしい。FPGAの豆知識。
0501774ワット発電中さん
垢版 |
2020/07/27(月) 08:03:54.98ID:XUHNVNIf
>>496
Female Pussy Genuine Asshole
で画像検索するとFPGAが即座にわかる。隣の人に見られないようにね。
0502774ワット発電中さん
垢版 |
2020/07/27(月) 18:57:55.09ID:we6EWz7x
これが真のFPGAか…
普通に気持ち悪い画像ばかりだったが、新しい世界が拓けたよ
0504774ワット発電中さん
垢版 |
2020/07/28(火) 15:47:19.29ID:t1gYxXrG
Gはゴキ...
0505774ワット発電中さん
垢版 |
2020/07/28(火) 19:42:30.97ID:N+Dxhtz5
Field Programmable Gokiburi Array
現場でプログラム制御できるゴキブリ軍団
0507774ワット発電中さん
垢版 |
2020/07/28(火) 23:06:26.53ID:52SvWdLX
大半の人は安くこき使われるだけだから、女が寄り付くわけがない

男って単純だから、大学教授あたりに簡単におだてられて、うっかりFPGAエンジニアを志望しちゃうんだよ
0509774ワット発電中さん
垢版 |
2020/07/29(水) 19:55:43.76ID:wNWGih+j
若い人も少なくない?おっさんしかいないんだが
0510774ワット発電中さん
垢版 |
2020/07/30(木) 00:43:00.55ID:uFT0RkGv
ゆとりとバカにされるだけあって劣化が激しく無理なんだよ
単純作業ですら満足にこなせない若者だらけだ
0514774ワット発電中さん
垢版 |
2020/07/30(木) 09:48:29.57ID:VRd1+ZiZ
人が寄り付かない理由の2割くらいは環境構築の難解さだと思う
わかっててもやりたくねぇもん
0515774ワット発電中さん
垢版 |
2020/07/30(木) 12:19:38.20ID:Vkyws6xC
苦労してFPGAに習熟しなくても、世の中の大半のことはソフトだけで十分実現可能だしな
0516774ワット発電中さん
垢版 |
2020/07/30(木) 12:20:48.01ID:Vkyws6xC
CPUで十分やれることを、適当に理由をでっちあげて、わざわざFPGAやGPUで実現しようとしている大学研究室にいたことあるけど、あのゴミのような研究に科研費が出ていたのは、お偉方の目が曇っていると言うしかない
0517774ワット発電中さん
垢版 |
2020/07/30(木) 13:07:04.17ID:R07nXEAx
>>516
肝心な研究に金が出ない理由を考えればお偉方はメクラだって分かりそうなものだが
0518774ワット発電中さん
垢版 |
2020/07/30(木) 17:19:16.81ID:uJ3VqogW
女の娘が女の友達のことをガールフレンドと呼ぶのは抵抗無いけど
男が男の友達のことをボーイフレンドと呼ぶと何となくッアー
0520774ワット発電中さん
垢版 |
2020/07/30(木) 22:50:10.18ID:a1NPzyFw
Xilinx使ってる人は書き込み機は基本USB Platform Cableなんですかね。
評価ボード買ったはいいけど書き込み機が高くて躊躇してます。

Alteraみたいに代替品の安いやつってないんでしょうか。
0521774ワット発電中さん
垢版 |
2020/07/30(木) 22:58:40.82ID:BPir+UhN
一番安いのはFT2232Hを使うTE0793
でもコネクタが独自で配線が面倒
0523774ワット発電中さん
垢版 |
2020/07/31(金) 03:08:49.90ID:BQ6Q+yL5
評価ボード買える金があるのに、安いの買って地雷を踏みたがる初心者脳ミソわからない。
ワイの上司やったら更に草

地雷報告カモーン
0526774ワット発電中さん
垢版 |
2020/07/31(金) 08:53:51.34ID:TFfFKYYV
FTDIのチップ載っててUSB挿せばJTAG見える評価ボードが数年前から主流な気がする
もうしばらくplatformケーブル使ってない
0527774ワット発電中さん
垢版 |
2020/07/31(金) 14:10:01.99ID:pFYZv8NU
FTDIの方が安いのに純正の赤いのより速いらしい
しかし特別な何かがある訳でもないのにDigilentのライセンスが書き込まれてないと使えんのはどういう意味だ?
0528774ワット発電中さん
垢版 |
2020/07/31(金) 18:29:38.08ID:JjsAM5N5
純正のはショボいマイコンで動いてる

FTDIはフルスピード対応してるし
JTAG専用のペリフェラル内蔵だから速いよ
0530774ワット発電中さん
垢版 |
2020/07/31(金) 20:03:20.11ID:Hp9YUcpc
USBのフルスピードは、12Mbps

素直に数字でも使っておけば良いものを、
センスの無い命名をするから、こう言う事になる

これ、英語圏の人でも間違うだろ、責められないよ
0533774ワット発電中さん
垢版 |
2020/07/31(金) 21:04:57.93ID:/XZG3eL0
ハイスピードは USB 2.0 の規格であって、
USB の最高速は 12Mbps だから、
フルスピードという名称で問題ない
0534774ワット発電中さん
垢版 |
2020/07/31(金) 21:11:26.56ID:pMOpvnu9
>>533
USB2.0 でも 3.0 でも Full-speed は Full-speed だぞ。フルスピードじゃないけどな。
USB 3.0 の変なコネクタとか、微妙な規格作るのはやめてほしいな。
0536774ワット発電中さん
垢版 |
2020/08/01(土) 02:01:34.57ID:dkeadWNu
USB Platform Cableは高額なケーブルだけあって、さぞかし12Mbpsより圧倒的に高速なんだろうな
0538774ワット発電中さん
垢版 |
2020/08/01(土) 02:58:08.06ID:9qlhcoq2
吉瀬先生と三好先生にはちょっと悪いが、Artyボードぐらいなら自分で買うわ
0540774ワット発電中さん
垢版 |
2020/08/01(土) 08:30:43.15ID:GVAYdepJ
Low-Speed - 1.5 Mbps
Full-Speed - 12 Mbps
High-Speed - 480 Mbps
SuperSpeed - 5 Gbps
SuperSpeedPlus - 10 Gbps

最初に、馬鹿げた名称を付けるとどうなるか?
いい見本だな

--- 以下 想像 ---
SuperSpeedPlusPlus - 20 Gbps
SuperSpeedPlusPlusPlus - 40 Gbps
0542774ワット発電中さん
垢版 |
2020/08/01(土) 09:08:43.46ID:q/GDOD+4
Hyper Speed
Extreme Speed
Ultimate Speed
 :
たくさんあるから大丈夫
0545774ワット発電中さん
垢版 |
2020/08/01(土) 10:23:35.65ID:3e+uE9FL
昔のSCSIの速度名を思い出す、名前で速度名を書かれてもピンとこなかった
0546774ワット発電中さん
垢版 |
2020/08/01(土) 10:25:53.01ID:GJloaYzv
素直に100Base-Tとかみたいに
USB1.1-1.5MとかUSB3.0-480Mとか
USBのVerと速度で表すようにすればいいのにとは思う
0551774ワット発電中さん
垢版 |
2020/08/01(土) 18:30:30.23ID:RtLYg8Ge
>>548

ダウンロードもrom書き込みも速い。
胃が軽くなる。
lanで繋がってるからサーバーで合成して手元のボードや別室の装置にすぐダウンロードできる。
0552774ワット発電中さん
垢版 |
2020/08/02(日) 05:03:06.62ID:OJlk+wV6
あーまた明日から仕事か行きたくねー…
昨日から少し咳が出やすいんだけど、これってコロナだよな?
0553774ワット発電中さん
垢版 |
2020/08/02(日) 05:04:51.51ID:OJlk+wV6
なーんか、昨日は飲食店等で若者の集団の近くにいること多かったし、少し笑っただけで咳が出ちゃうし…
0558774ワット発電中さん
垢版 |
2020/08/02(日) 13:45:59.63ID:kXLHZ9kU
家のPCで仕事しちゃいかんだろ
御社のセキュリティ意識どうなってんだ
0560774ワット発電中さん
垢版 |
2020/08/02(日) 18:44:53.44ID:ojVeMkVa
でもみんなそうしているんならモニターやらWebカメラがバカ売れしたりはしないと思う
うちの会社も未だにシンクラではないしERPのアカウント運用も使い回しが当たり前だし
UMSは塞いであってもMTPは開きっぱなしだしガバガバや
0561774ワット発電中さん
垢版 |
2020/08/02(日) 20:28:52.47ID:vAswyROe
うちもシンクラではないねぇ
かと言って個人端末もさすがにダメだけど
0564560
垢版 |
2020/08/03(月) 00:07:17.85ID:GIWEN61o
うちの会社は単に「騒ぎにならなければ問題は存在しない」なだけだな
問題になったときは誰かが吊し上げられる。当然隠蔽体質
これでもその方面では有名な会社だし東証一部上場なのだから世の中恐ろしい
新型コロナ関係もマスク付けていれば3密だろうが問題ないだし
0565774ワット発電中さん
垢版 |
2020/08/03(月) 05:53:16.90ID:ZcU7c4HL
平熱で体調も万全
会社を休む理由が見つからないな
λ............
0568774ワット発電中さん
垢版 |
2020/08/03(月) 13:07:26.33ID:t4IMnGDQ
厚労省の通達によれば、前日までの有給申請は通さないといけない。
つまり当日の申請は却下できるということだ。
0570774ワット発電中さん
垢版 |
2020/08/03(月) 21:47:54.69ID:BoznNgTo
相応の理由があって欠勤するならともかく、ただのワガママで欠勤は無責任だと感じるよ
懲戒事由になるんじゃないの?
0571774ワット発電中さん
垢版 |
2020/08/03(月) 22:19:07.02ID:l7lY9fuT
俺が大学院生だったころ、教授が社会人学生に向かって、会社を欠勤して学業を優先しろと勧めている場面に遭遇したことがある
俺はこの教授のところに配属希望を出したことを心から後悔した
0573774ワット発電中さん
垢版 |
2020/08/03(月) 22:30:09.85ID:l7lY9fuT
有給は既に使い切っていたからねぇ
リモートでのゼミを断固として認めない教授だったが、このコロナ騒動で今どうしてるのやら
0574774ワット発電中さん
垢版 |
2020/08/03(月) 23:16:10.47ID:gOSNT6Zq
いや、社会人学生は学業専念義務あるだろ。
0575774ワット発電中さん
垢版 |
2020/08/03(月) 23:41:39.23ID:xuX0pky0
>>574
へ?
0576774ワット発電中さん
垢版 |
2020/08/04(火) 01:31:02.95ID:4PKGmSuJ
ブラック研究室はブラック企業以上にブラックやぞ
学べることがないのに授業料払いながら毎日徹夜作業だからな
0577774ワット発電中さん
垢版 |
2020/08/04(火) 07:04:44.43ID:rKpPrNZm
それだったら外国人実習生と一緒じゃないか。
一般の最低賃金のしばりがない労働者にすぎないというか。

授業料を払ってるんだし余計にひどいか。卒業が人質。(実習生はパスポートが人質だとか)
0578774ワット発電中さん
垢版 |
2020/08/04(火) 07:20:13.66ID:j+WMVZW+
日本の先端科学は学生の無賃労働に支えられているところがあるのは確かでは
文科省の科研費のみだったらもっと酷い状況になっている
0579774ワット発電中さん
垢版 |
2020/08/05(水) 02:04:25.11ID:7NDdUT9x
科研費は廃止して、これまでの国の借金の支払いに充てればいいよ
0580774ワット発電中さん
垢版 |
2020/08/05(水) 08:16:37.37ID:CwSaQ5AQ
>>579
なんで?
0582774ワット発電中さん
垢版 |
2020/08/05(水) 20:57:53.12ID:qZ19d3je
まあ、時間稼ぎしてるだけの大学教員や研究者も多いようだし、科研費は絞っていいよ
0583774ワット発電中さん
垢版 |
2020/08/06(木) 21:06:58.06ID:xqCx6XZR
基礎科学分野の予算はアメリカとかと比べてかなり少ないのに
減らしたらさらに差が開くオチしか見えないが
0585774ワット発電中さん
垢版 |
2020/08/07(金) 11:57:52.04ID:sWrpS+aw
基礎科学は各応用分野の基盤になっている、基礎科学力の低下は
各産業、防災や国防の低下につながるが?
0587774ワット発電中さん
垢版 |
2020/08/07(金) 13:10:48.22ID:urpr5q8G
無料の番犬に家を守らせたはずが
いつの間にか有料になり、番犬が家を好き勝手してる国があるよな
0588774ワット発電中さん
垢版 |
2020/08/07(金) 13:26:38.31ID:05NR7n1j
基礎科学の全てが各産業、防災や国防につながるわけもないしなぁ
0590774ワット発電中さん
垢版 |
2020/08/07(金) 15:29:54.86ID:urpr5q8G
めっちゃつながってるが?

想像力の欠如ってのは恐ろしいもんだな
0591774ワット発電中さん
垢版 |
2020/08/07(金) 15:36:33.83ID:Gj4aoNp/
化学無しで高性能な半導体の製造なんか不可能
もっとも日本はすでに先端半導体から脱落しているが
0593774ワット発電中さん
垢版 |
2020/08/07(金) 16:34:19.48ID:3Drcs9oV
おっと…

科研費に関して言えば、日本は得意分野に絞ればいいと思う
半導体やFPGAは海外に任せていいよー
0596774ワット発電中さん
垢版 |
2020/08/07(金) 18:03:08.82ID:U8MlvLY1
海外任せで良いというなら海外製部品の入手性や値段に文句を言うべきでないな
0597774ワット発電中さん
垢版 |
2020/08/07(金) 18:31:14.92ID:3Drcs9oV
しつこく不安を煽ろうとする人がいるようですが、「別にいいですよ」としか言いませんよ
0598774ワット発電中さん
垢版 |
2020/08/07(金) 18:57:20.28ID:0O/zwQ46
ニートとして言わせてもらうと、金稼いでる人からたくさん税金取って、そのお金で立派な研究をして、色んなサービスを無料で提供してもらいたいです
0600774ワット発電中さん
垢版 |
2020/08/08(土) 00:47:43.85ID:JwsTvN5e
そんなわけないでしょw
納税者かどうかなんて、いちいち区別してらんないでしょ?
0603774ワット発電中さん
垢版 |
2020/08/08(土) 10:26:27.36ID:I5RmFky7
FPGAの研究者や技術者ごときにニートを駆除するなんて無理でしょうw
無力!
0605774ワット発電中さん
垢版 |
2020/08/08(土) 12:20:25.12ID:8BA2wPZu
FPGAでなければニートを判別できない理由付けが必要ですね
CPUでいいじゃんって言われたくないでしょ?
0607774ワット発電中さん
垢版 |
2020/08/08(土) 14:50:06.23ID:oFW0n8aM
使い分けは大事だよね、マイコンだけに異様に固執して他は全く手をつけないって人もいる
0608774ワット発電中さん
垢版 |
2020/08/08(土) 15:04:43.75ID:e0vx4jMC
値段を考えるとマイコンですむならマイコンですました方がよくね
0609774ワット発電中さん
垢版 |
2020/08/08(土) 16:11:40.01ID:JWDqSMRD
アダプティブコンピューティング研究推進体「ACRi」(アクリ)は、特定の用途に合わせたディジタル回路を実現するために使用する半導体デバイス「FPGA」の利用環境を無償で提供する「ACRiルーム」を、8月1日に開設した。

同ルームはオンラインで無償利用でき、東京工業大学構内に設置された100枚を超えるFPGAボードと開発用ソフトウェアにリモートからアクセスして利用する。

利用者は、日本国内でFPGAに興味のあるすべての人が対象で、「ACRiルーム」のウェブサイトから事前に利用予約すれば使用できる。初年度(2021年7月末まで)は1000人の利用を想定。

また、「ACRiルーム」の目的や使い方についてデモを交えて紹介する「ACRiルーム紹介ウェビナー」を、8月6日に開催する。

https://ict-enews.net/2020/08/03acri/
0612774ワット発電中さん
垢版 |
2020/08/11(火) 02:40:11.96ID:eMDQYl3s
アカウント申請はしたけど、今のところFPGAよりもサーバ目当てになりそう
0613774ワット発電中さん
垢版 |
2020/08/13(木) 09:04:42.23ID:dh1Muh4L
ところでXilinxの真っ赤な刺すボードってどうやって使うの?
0614774ワット発電中さん
垢版 |
2020/08/17(月) 11:30:15.61ID:rXhydOrE
FPGA初心者です。
お勉強用にxilinxの載った評価ボードを購入したのですが、開発環境ソフトライセンスというのが付属してない製品を買ってしまいました。
別途、購入出来るのでしょうか?
購入出来ない場合、ボード自体意味なくなってしまうのでしょうか?
0615774ワット発電中さん
垢版 |
2020/08/17(月) 11:33:15.82ID:5oxaFJO4
おま環
0617774ワット発電中さん
垢版 |
2020/08/17(月) 11:55:23.78ID:Ca3ZYN3B
特別な機能(有料の)を使いたいなら話は別だが、
勉強とか言ってる程度なら、当面は無償版で提供される機能だけで十分だろう?

相当なサイズだったとは思うが
普通に、サイトから無償版をダウンロードすれば良いだけじゃない
0618774ワット発電中さん
垢版 |
2020/08/17(月) 12:00:35.68ID:Grf/MizZ
デバイス毎に状況違うから、どの基板か具体的に書かないと有用なアドバイスは出てこないよ
安い開発ボードだったらS6とかzynqの小さい奴だろうけど、前者はISE、後者はvivadoで、どっちもwebpackでなんとかなるんじゃね?
0620774ワット発電中さん
垢版 |
2020/08/17(月) 12:46:06.50ID:Lm6empXZ
zybo 7010というやつです
全く使い物にならないわけではないのですね
安心しました
無償版というのを調べてみます
ありがとうございます
0621774ワット発電中さん
垢版 |
2020/08/17(月) 13:15:08.82ID:Lm6empXZ
調べてきました
zybo-7010に搭載されてるデバイスは、XC7Z010
vivadoのwebpackはXC7Z030まで対応
ということなので、webpack版でイケそうですね

では、なぜ、開発環境ソフトライセンスというものが存在するのでしょうか?少なくともこれが付属してるzyboはお値段が少し高く設定されています
0623774ワット発電中さん
垢版 |
2020/08/17(月) 14:25:32.96ID:jgT/U+he
sdsoc環境はc/c++で書いたプログラムをfpgaのロジックに置き換えて並列化/高速化するためのもので、上級者向け
とりあえずfpgaだけ使うんであれば、vivadoのwebpackで十分

有償vivadoは大きいデバイスで必須なのと、enduser licenseのipが使い放題なのが大きなメリット
axiにつなぐipがほとんどタダで使える
0624774ワット発電中さん
垢版 |
2020/08/17(月) 18:04:10.67ID:ge2yM4Rg
初心者はおとなしく>>609を活用すればよいものを…
(ただしLチカの感動は味わえない)
0625774ワット発電中さん
垢版 |
2020/08/18(火) 07:55:00.08ID:/0Xubi5l
皆さんありがとう
・zybo&vivado webpackで従来の?FPGAの使い方を勉強
取り敢えず上記で始めてみて、進み具合に応じて
・ACRiを利用してみる
・開発環境ソフトを利用した使い方?を検討してみる
で進めてみようと思いました
0626774ワット発電中さん
垢版 |
2020/08/18(火) 08:03:49.40ID:HtCVs7Da
zynqに詳しい人いますか?
PSからDDRにライトして、そのデータをPL側で使いたいたくて、axiマスターのipでリードしてるんだけど、ライトしたデータが読めない。キャッシュディセーブルとかやってるんだけど。難しい。
0628774ワット発電中さん
垢版 |
2020/08/25(火) 16:55:12.36ID:5J4JTVEL
vivado hlsのC simulationでエラーが出るんだけど、この解決方法分かる人いませんか?
ネットで調べても有用な情報がなかった…
ちなみに、合成(C synthesis)自体はできる
プロジェクト名QUBO0
ソースファイル名qanneal

Starting C simulation ...
/opt/Xilinx/Vivado/2020.1/bin/vivado_hls /home/user/ダウンロード/ts20/QUBO0/solution1/csim.tcl
INFO: [HLS 200-10] Running '/opt/Xilinx/Vivado/2020.1/bin/unwrapped/lnx64.o/vivado_hls'
INFO: [HLS 200-10] For user 'user' on host 'shimomai-VirtualBox' (Linux_x86_64 version 4.15.0-112-generic) on Tue Aug 25 16:44:02 JST 2020
INFO: [HLS 200-10] On os Ubuntu 16.04.6 LTS
INFO: [HLS 200-10] In directory '/home/user/ダウンロード/ts20'
Sourcing Tcl script '/home/user/ダウンロード/ts20/QUBO0/solution1/csim.tcl'
INFO: [HLS 200-10] Opening project '/home/user/ダウンロード/ts20/QUBO0'.
INFO: [HLS 200-10] Opening solution '/home/user/ダウンロード/ts20/QUBO0/solution1'.
INFO: [SYN 201-201] Setting up clock 'default' with a period of 10ns.
INFO: [HLS 200-10] Setting target device to 'xc7k160t-fbg484-2'
INFO: [SIM 211-2] *************** CSIM start ***************
INFO: [SIM 211-4] CSIM will launch GCC as the compiler.
Compiling(apcc) ../../../../qanneal.c in debug mode
INFO: [HLS 200-10] Running '/opt/Xilinx/Vivado/2020.1/bin/unwrapped/lnx64.o/apcc'
INFO: [HLS 200-10] For user 'user' on host 'user-VirtualBox' (Linux_x86_64 version 4.15.0-112-generic) on Tue Aug 25 16:44:17 JST 2020
INFO: [HLS 200-10] On os Ubuntu 16.04.6 LTS
INFO: [HLS 200-10] In directory '/home/user/ダウンロード/ts20/QUBO0/solution1/csim/build'
INFO: [APCC 202-3] Tmp directory is /tmp/apcc_db_user/240791598341458072952
INFO: [APCC 202-1] APCC is done.
Generating csim.exe
@E Simulation failed.
ERROR: [SIM 211-100] CSim failed with errors.
INFO: [SIM 211-3] *************** CSIM finish ***************
4
while executing
"source /home/user/ダウンロード/ts20/QUBO0/solution1/csim.tcl"
invoked from within
"hls::main /home/user/ダウンロード/ts20/QUBO0/solution1/csim.tcl"
("uplevel" body line 1)
invoked from within
"uplevel 1 hls::main {*}$args"
(procedure "hls_proc" line 5)
invoked from within
"hls_proc $argv"
Finished C simulation.
0629774ワット発電中さん
垢版 |
2020/08/25(火) 16:58:28.75ID:5J4JTVEL
>>628
ミス、こっちだ
プロジェクト名QUBO0
ソースファイル名qanneal
Starting C simulation ...
/opt/Xilinx/Vivado/2020.1/bin/vivado_hls /home/user/ダウンロード/ts20/QUBO0/solution1/csim.tcl
INFO: [HLS 200-10] Running '/opt/Xilinx/Vivado/2020.1/bin/unwrapped/lnx64.o/vivado_hls'
INFO: [HLS 200-10] For user 'user' on host 'user-VirtualBox' (Linux_x86_64 version 4.15.0-112-generic) on Tue Aug 25 16:44:02 JST 2020
INFO: [HLS 200-10] On os Ubuntu 16.04.6 LTS
INFO: [HLS 200-10] In directory '/home/user/ダウンロード/ts20'
Sourcing Tcl script '/home/user/ダウンロード/ts20/QUBO0/solution1/csim.tcl'
INFO: [HLS 200-10] Opening project '/home/user/ダウンロード/ts20/QUBO0'.
INFO: [HLS 200-10] Opening solution '/home/user/ダウンロード/ts20/QUBO0/solution1'.
INFO: [SYN 201-201] Setting up clock 'default' with a period of 10ns.
INFO: [HLS 200-10] Setting target device to 'xc7k160t-fbg484-2'
INFO: [SIM 211-2] *************** CSIM start ***************
INFO: [SIM 211-4] CSIM will launch GCC as the compiler.
Compiling(apcc) ../../../../qanneal.c in debug mode
INFO: [HLS 200-10] Running '/opt/Xilinx/Vivado/2020.1/bin/unwrapped/lnx64.o/apcc'
INFO: [HLS 200-10] For user 'user' on host 'user-VirtualBox' (Linux_x86_64 version 4.15.0-112-generic) on Tue Aug 25 16:44:17 JST 2020
INFO: [HLS 200-10] On os Ubuntu 16.04.6 LTS
INFO: [HLS 200-10] In directory '/home/user/ダウンロード/ts20/QUBO0/solution1/csim/build'
INFO: [APCC 202-3] Tmp directory is /tmp/apcc_db_user/240791598341458072952
INFO: [APCC 202-1] APCC is done.
Generating csim.exe
@E Simulation failed.
ERROR: [SIM 211-100] CSim failed with errors.
INFO: [SIM 211-3] *************** CSIM finish ***************
4
while executing
"source /home/user/ダウンロード/ts20/QUBO0/solution1/csim.tcl"
invoked from within
"hls::main /home/user/ダウンロード/ts20/QUBO0/solution1/csim.tcl"
("uplevel" body line 1)
invoked from within
"uplevel 1 hls::main {*}$args"
(procedure "hls_proc" line 5)
invoked from within
"hls_proc $argv"
Finished C simulation.
0631774ワット発電中さん
垢版 |
2020/08/25(火) 19:59:11.97ID:mLrgpLZ1
>>629
これだけ見ても分からんけど
経験上論理合成できてもシミュレーション動かないやつは
実機でもうまく動かないことが多い

結局Cコードの書き方に問題があるケースがほとんど
0633774ワット発電中さん
垢版 |
2020/08/27(木) 20:00:07.81ID:XSM9KmCl
Vivado ですが、合成〜配置配線〜ビットストリーム の流れが終了したら それを知らせる音を鳴らすことができませんかね?
0634774ワット発電中さん
垢版 |
2020/08/27(木) 20:03:44.37ID:i3jcmUCm
>>627
すみません。ZYNQまだ苦戦しております。
DDRのデータをPLで使う方法がよくわかってません。

今、ZYNQのAXI_HPにAXI_DMAを接続してます。

DDRのアドレスは0x00000000〜0x3fffffffです。

まず、PSからDDRにデータをライトするため、
SDKで0x00000000に0x12345678をライトし、
0x00000000をリードするアプリを作りました。

Xil_Out32(0x00000000, 0x12345678);
Data1 = Xil_In32(0x00000000);
xil_printf("Data1: %x\n", Data1);

結果、0x12345678になりました。

次に、このDDRの0x00000000にライトしたデータ0x1234567を
PL側のロジックで使いたいとしてどうすればよいでしょうか?
0635774ワット発電中さん
垢版 |
2020/08/27(木) 20:49:17.05ID:ylQ6GXPK
>>634
Zynq使ったことも無いんだけど、GPIOつけて出力してoutdata[31:0] 有効信号outdata_valid つければええだけやないのか
0636774ワット発電中さん
垢版 |
2020/08/27(木) 21:06:46.78ID:ylQ6GXPK
あとデータのアドレスとデータのバス
データのバスに書き込んだFFのデータがそのまま読まれているとかでは無いのか?

addr=0x0 data=0b1010 を書き込む
addr=0x8 data=0b0101 を書き込む
addr=0x0 を読み込む data=0b1010であることを確認する
addr=0x8 を読み込む data=0b0101であることを確認する

をしてデータを反転して読み書きをすると初めて基板上のメモリーが読めたと言える。

FPGAに内蔵した時は、どうよ。
0637774ワット発電中さん
垢版 |
2020/08/27(木) 21:09:30.58ID:ylQ6GXPK
あとXilinxのDDR3コントローラを直に使ってシミュレーションかけてたことがあるんだけど、AXIバスの都合なのかバーストモードのリード回数が固定でイライラしてた記憶がある。
0638774ワット発電中さん
垢版 |
2020/08/27(木) 23:41:09.93ID:i3jcmUCm
>>636

試してみました。

Xil_Out32(0x00000000, 0x10101010);
Xil_Out32(0x00000008, 0x01010101);
Data1 = Xil_In32(0x00000000);
xil_printf("0x00000000: %x\n\r", Data1);
Data2 = Xil_In32(0x00000008);
xil_printf("0x00000008: %x\n\r", Data2);

FPGA上の結果は下記のようになりました。
0x00000000: 10101010
0x00000008: 1010101

一応書き込めてそうです。

この状態で、AXI_MASTERの自作IPから
アドレス0x00000000をリードしても、0x00000000しか読めないんです。

難しいお。
0639774ワット発電中さん
垢版 |
2020/08/28(金) 13:58:05.18ID:3G1aCsaB
最近Microblaze触ってみてるんですけど、ipのウィザードでFPUのユニットのチェックを外して合成してもfloatの演算が出来てしまうようなんですが何故でしょうか?
0643774ワット発電中さん
垢版 |
2020/08/29(土) 10:35:07.04ID:EheGj07L
下記がわからないので教えて下さい。
https://www.linkedin.com/pulse/how-access-memory-from-pl-using-axi-master-zynq-jamakhandi/?published=t
0番地に0x1234をライトしているのに
リードしたデータvalue1=1になっているのはなぜですか?

#include <stdio.h>
#include "platform.h"
#include "Xil_io.h"
#include "xil_cache.h"
void delay()
{
int i;
for(i=0;i<10000000;i++);
}

int main()
{
u32 RegValueRead;
Xil_DCacheDisable();
//Write to the memory and read the memory
Xil_Out32(0x0,0x1234);
Xil_Out32(0x4,0x5678);

// Enable the GPIO to write to the memory
Xil_Out32(0xA0000000,0x1);
Xil_Out32(0xA0000000,0x0);

xil_printf("Read the data written by AXI block after enabling it\n\r");
RegValueRead=Xil_In32(0x0);
xil_printf("value1 = %x\n\r", RegValueRead);
RegValueRead= Xil_In32(0x4);
xil_printf("value2 = %x\n\r", RegValueRead);
RegValueRead=Xil_In32(0x8);
xil_printf("value3 = %x\n\r", RegValueRead);

cleanup_platform();
return 0;
}
0644774ワット発電中さん
垢版 |
2020/08/29(土) 12:29:59.47ID:qZVCL3pP
>>643
それは、オレ様に年収2000万円嫁つき一戸建てをFPGAで用意できないからじゃないか
0645626
垢版 |
2020/08/29(土) 21:11:38.68ID:EheGj07L
>>627
PLからDDR読めなかったんだけど、
読めるようになりました。
VIVADOのロジアナでAXIバスの波形を確認しながら
進めたら読めました。
0649774ワット発電中さん
垢版 |
2020/08/30(日) 13:00:52.45ID:DKrZbNfT
>>648
そうすればFPGAを開発をさせ何もしない奴に、お前のせいだとかお前がダメなせいだとか理不尽な事言われずに過ごせるじゃないか。
でも、年収2000万円の嫁つき一戸建てが来ない
0650774ワット発電中さん
垢版 |
2020/08/30(日) 14:20:43.45ID:pIhLJDk5
何もしないのかな。人に実務を差配する、という仕事をしてるような。
不満があるなら、自分がそれをやればいい、っていつも言われている通りで。
0651774ワット発電中さん
垢版 |
2020/08/30(日) 14:34:13.12ID:DKrZbNfT
>>650
再配する実務が、物量に比べ納期やばいのが多い
給与あがらない
という妄想にとらわれる
0652774ワット発電中さん
垢版 |
2020/08/30(日) 14:36:21.52ID:DKrZbNfT
差配するばかりで、実務やる人が少なすぎて困る
もう、年収2000万円嫁つき一戸建てをゲットして、このブラック業界から足を洗いたい
0653774ワット発電中さん
垢版 |
2020/08/30(日) 16:11:54.20ID:4pz/8HwA
田舎行けば嫁付き一戸建て貰えるんじゃね?
農業手伝わなきゃだけど。
0655774ワット発電中さん
垢版 |
2020/08/30(日) 18:32:18.56ID:/ubVNFfv
田舎でも年収200〜300万なんて相手にしないうえにFPGAどころか開発の仕事もないぞ
0656774ワット発電中さん
垢版 |
2020/08/30(日) 18:48:33.47ID:pIhLJDk5
発注元との距離で不利になるのは、だんだん変わるのでは。
WEB打合せとか増えてきてるし。
0659774ワット発電中さん
垢版 |
2020/08/30(日) 21:31:25.84ID:pIhLJDk5
いやいや、どこまで田舎に引っ込むんだよ。
0660774ワット発電中さん
垢版 |
2020/08/30(日) 21:55:15.19ID:DKrZbNfT
気がついたら派遣に落ちてて、見込み残業ありで、大手に派遣されとった そんとき年収350か
これやったら、どこでもええから派遣会社行けばええやんで年収100あがった
高崎に引越たら、FPGA技術者だというとるのに、OrCADオペレーターやらされて、引越翌日に派遣解除された。
長野県で信号処理って書いてあったから行ったら、ASIC開発 ほぼ一人w 半年間でフロントエンド設計を終えて 一発動作させたw。給与対してあがらないしワイを低賃金でこき使った大手派遣先やった会社がテスター請負とかで出てきて、それワイを低賃金でこき使った会社の先のエンジニアがやるんやん。関わりたくねえ
逃げるように、新横浜あたりの仕事ないかなと転職活動して引っかかった。ビックリするほどコスト間の合わない請負仕事で。精神病んでやめた。
もうね。何が何だか。
優秀になればなるほど、一人開発になるの何とかならんのかほんま

だから、FPGA技術者が、年収2000万円ぐらいと嫁と一戸建てが貰えるような世の中にしたい
けども、俺にはムリだーー
0661774ワット発電中さん
垢版 |
2020/08/30(日) 22:04:59.62ID:pIhLJDk5
レーダーチャートでまんべんなくポイントを取れず、その一方でどこかが尖ってる人にはプラスの自己評価ゆえに余計にしんどい世の中なんだろな。
0662774ワット発電中さん
垢版 |
2020/08/30(日) 23:03:50.16ID:DKEQdmoL
>>660
そういうことをやってるから日本は沈没するのだよな。
ソフトウェア開発だけど、大手メーカーの発注から精々孫請けぐらいで請けないとおかしなことになると思うわ。
優秀な人だと短納期で開発できるけど、短納期だと工数見積もりも自然と小さくなっちゃうから(納期と実装難易度は異なるから本当はおかしいのは重々承知)
実際はやってるフリで複数請けて並列化して優秀な分だけ稼ぐとかしたらいいのかな。
でも、開発は並列化すると脳が簡単に限界を迎えて効率駄々下がりなのが難しい。
0663774ワット発電中さん
垢版 |
2020/08/30(日) 23:29:45.00ID:pIhLJDk5
100mのランナーの賞金が、いい記録が出るほどに下がってたらダメだろね。

1人秒ナンボですわ。みたいな。
0664774ワット発電中さん
垢版 |
2020/08/30(日) 23:57:35.82ID:DKEQdmoL
発注元の評価基準が腐ってて、全員同じ能力だと仮定して時間いくら、でしか測れないのが問題だろうな。
ここを変えないと本当に日本のものづくりはどんどんダメになって終わる。
まあもう取り返しがつかないレベルな気がしないでもないけど
0665774ワット発電中さん
垢版 |
2020/08/30(日) 23:59:47.70ID:pIhLJDk5
>発注元の評価基準が腐ってて、全員同じ能力だと仮定して時間いくら、でしか測れないのが問題だろうな。
そんなところばかりではない、という印象だけど、印象はおつきあいしている業者さんで変わるだろね。
0666774ワット発電中さん
垢版 |
2020/08/31(月) 00:18:23.68ID:562K3vdt
自分はラッキーなことに月単価100万以上で出して複数案件平行しててもゆるされたりするんで環境が恵まれてるんだとだと思うけど、
大きいとこが中抜きしたりするとそうはいかないだろうな。
0667774ワット発電中さん
垢版 |
2020/08/31(月) 15:29:09.47ID:BpzmDPT5
時間で計る日本の労働環境の問題でしょう
凡人が8hで終わる仕事を6hで片付けて帰っても本来は同額支払われないとおかしい
能率向上だの改善だの吠える会社は少なくないがこういうところにメスを入れるところはまず無いし
0669774ワット発電中さん
垢版 |
2020/09/01(火) 07:40:06.43ID:ngXZSIcu
凡人が8hで終わる仕事を6hで片付けて、残りの時間でほかの仕事に手をつけられる人に報酬で応えられない組織ってどれぐらいあるんだろう。

もし、応えない組織があたりまえのように存在するなら、転職が難しい社会において将来を人質にしているから成立するわけで
個々の会社組織の問題というより、日本の構造的な問題なんじゃないかな。
よほど突き抜けた人なら、いつでもどこでも好きなところへ行けるだろうけれど、通常レベルの有能な人は、待遇に不満をかかえて転職を繰り返したら
没落するリスクが高い。それを見越して雇う方も報酬を低く抑えることができるよ。
0671774ワット発電中さん
垢版 |
2020/09/01(火) 12:40:40.98ID:ZehiFeeO
>>669
8hの仕事を10hかかる人の手伝いをしてるんじゃないかな
0672774ワット発電中さん
垢版 |
2020/09/01(火) 18:48:33.40ID:mNELfPQ4
>>669
>通常レベルの有能な人は、待遇に不満をかかえて転職を繰り返したら
>没落するリスクが高い。それを見越して雇う方も報酬を低く抑えることができるよ。
でもそういう使い方をしていたら従業員の忠誠は上がらないし、本気は出してくれないし
困ったときにも助けてくれないし、日本の利益にも貢献しない

てか潰れたり買収されたりする大企業ってこのパターン多いような
リストラで出来るヤツから辞めていって最終的に無能イエスマンが残ってアボーン
0673774ワット発電中さん
垢版 |
2020/09/01(火) 20:59:58.03ID:tR6SBwdX
60FPSで液晶を描画する機器から、液晶の信号(RGBと同期信号)を取り出して
HDMI(60FPS)でモニターに写したいのですが、FPGA内のBRAMでフレームバッファを
つくろうと考えています。
この場合、入力 出力とも60FPSですがタイミングは微妙に違うと思うのですが
・シングルバッファ
・ダブルバッファ
・トリプルバッファ
のどれでフレームバッファをつくろうか悩んでいます。
やっぱりトリプルがベストでしょうか。逆にシングルではだめでしょうか。
0674774ワット発電中さん
垢版 |
2020/09/01(火) 22:58:05.02ID:CgvkGxRE
トリプルバッファって、3フレーム分貯めるってこと?
そんなにブロックRAMある?SD?
0675774ワット発電中さん
垢版 |
2020/09/01(火) 23:58:14.09ID:YBSxX7t5
>>673
トリプルでの 垂直同期ブランク期間切替理想

ダブルの場合は、水平同期ブランク期間

シングルの場合は、ぢゅあるぽーとめもりでいつも切り替える

動画だと後半に行くにしたがって目立つよ
0676774ワット発電中さん
垢版 |
2020/09/02(水) 00:04:20.19ID:YciArTiY
入力と出力の仕様から、タイミングチャートと切替タイミングを検討しないとわからないよ。
0677774ワット発電中さん
垢版 |
2020/09/02(水) 01:06:49.63ID:DzY4jNnk
>>673
そんなのフレームレートと伝送仕様によるんじゃないの
ソースのフレームレートがTMDS使用可能な範囲で
走査方向も同じなら1フレーム未満のバッファでも実装出来るかもしれないし
0678774ワット発電中さん
垢版 |
2020/09/02(水) 07:20:54.72ID:YHNYk7Eu
>>674
はい、そうなんです。ソースの画像の解像度が低いので 足りることは確認済みです。

>>675
なるほど。この方向で実装しようと思いますう。
ありがとうございました。

>>676
すいません

>>677
ありがとうございます。
ちょっと考えてみます。
0679774ワット発電中さん
垢版 |
2020/09/02(水) 15:31:58.13ID:/uqaNhrJ
>>672
ほんそれ
日本の政治家もそうかもな
0680774ワット発電中さん
垢版 |
2020/09/04(金) 13:10:33.33ID:jNF/Ib0u
hdmiて最小解像度が640x480の60pなのと、モニタはedidに書かれてる解像度しか受け付けないから、映るフォーマットに乗せて信号出す必要があるよ
640x480のフレーム中に、320x200をはめて黒縁で映すとかでなんとかなる
0682774ワット発電中さん
垢版 |
2020/09/04(金) 13:34:30.95ID:jNF/Ib0u
edidは、見ないで出してもいいけど、映るかどうかはわからない
認証取るならedid見ないと駄目

60pのsinkで必須になってる640x480と720x480であれば、決め打ちで出してもまず映るから安全牌
0683774ワット発電中さん
垢版 |
2020/09/04(金) 15:35:00.46ID:GQ7nG+GS
Audioサポートが必須要件に入ってるんで、認証うんぬん・・ は疑問
(まぁ、勢い余って出た言葉、その程度の事だとは思うが)
それ以外は、 jNF/Ib0u の言い分は正しい

Audio対応=必須要件 => なければ 門前払い、 という事のようだ
* Audioサポートの例外規定 というのも存在するが、詳しく知りたければ Specification でも読んでくれ
 Type-B を使って、個別に契約、 その他・・・ とか書いてるよ
0684774ワット発電中さん
垢版 |
2020/09/04(金) 17:33:18.68ID:o3YIKl5N
HDMIコネクタを有する装置全てが認証を取っているのか問題
0685774ワット発電中さん
垢版 |
2020/09/04(金) 18:16:06.55ID:jNF/Ib0u
hdmi1.4bのsourceでは、オーディオは必須じゃないよ
機器に他のオーディオ出力端子がついてるときは、hdmi端子からも音を出さないと、認証でfailになる
他のオーディオ出力端子がついてなければ、hdmiから音出なくても認証は通せる
cts1.4bの7.6読んでみそ

規約上、hdmiの認証取ってないと、市販できないし、hdmiの名称も使えない
0688774ワット発電中さん
垢版 |
2020/09/04(金) 22:22:02.49ID:H4mvWnWQ
ええと、質問する相手の立場に立って考えられない技術的思考で、親切心でこういう問題もあるかもしれないと考えて発言したうえでそういった言葉が出てきたと考えます。
匠のエンジニアならば、考えてもいなかったご指摘ありがとうございます。
しかしながら、実際に考えていらっしゃる方はそれ以前のレベルなので生暖かいドラえもんのような目で見守って頂いた上で、僭越ながらわたくしめにFPGAで年収2000万円嫁つき一戸建てをご用意いただければ相手にしたいかなと感じております。
0689774ワット発電中さん
垢版 |
2020/09/04(金) 22:23:38.80ID:H4mvWnWQ
ボキュは、FPGAで年収2000万円嫁つき一戸建て認証制度を立ち上げるので、皆様認証を突破出来るよう頑張ってください。
0690774ワット発電中さん
垢版 |
2020/09/04(金) 23:38:03.94ID:/44BuEeD
HDMIは民生機器も|が対象だから結構縛りがきつい
商人もらうにしても有料だし 金出したくないから採用先延ばししてたメーカーも
DVIやDPとはちょっと世界が違う
0692774ワット発電中さん
垢版 |
2020/09/05(土) 03:32:10.31ID:uG0urUoj
HDMIに使うIC自体にライセンス料が付加されてなかったっけ?
そっち方面は詳しくないんだけど
0693774ワット発電中さん
垢版 |
2020/09/05(土) 08:40:37.31ID:VZFdOIbl
最終製品がライセンス料を払う必要がある、っていうけど、最終製品がいまいち納得できない。
かたい話をすれば、ラズパイ組み込み製品もHDMIを使ってるからライセンス料が発生するとか言うよね。
0694774ワット発電中さん
垢版 |
2020/09/05(土) 10:17:09.75ID:BP8TrFms
HDMIは関連特許や技術の使用権が有料
adopter加盟して製品毎に認証を取って、最終製品の出荷1台あたり$0.15のロイヤリティを払わないと、後で訴えられる可能性がある
adopterリストが公開されてて、そこに登録されないで製品売ってるメーカーは全部違反してる
今の所、訴訟起こされた事例は無いけど、いつか本気出す時が来る
国内メーカーでもやってるところがあるので、どうなるか興味深い
DVIで出力して外部で変換するのは、よい回避方法

お店で市販するような形で出荷するのが最終製品
HDMIつきグラボを組み込んだPCを市販する時、ライセンス料はグラボの製造元が払ってるので、PCメーカーは払わないでいい
0697774ワット発電中さん
垢版 |
2020/09/05(土) 14:25:56.39ID:DznFKl/W
ラズパイはちゃんとadopter登録してるからロイヤリティ払ってる
中華メーカーでもちゃんとやってる所は登録してロイヤリティ払ってる
登録数だけで見ると、もう日本からの登録数を追い抜いた
0698774ワット発電中さん
垢版 |
2020/09/05(土) 20:20:48.01ID:0gwzX/+e
usbもそうだけどたかがインターフェースで
金取ろうなんてやめて欲しいよな
0699774ワット発電中さん
垢版 |
2020/09/05(土) 20:36:32.64ID:VZFdOIbl
というか、勝者になれば取れるわけで、それを狙ってインターフェースを作るよね。

USBのVendor IDなんて初期の頃は$200だったのに、今は$5,000だっけか。サブライセンスしてくれるデバイスを使えるならそれでいいわけだけど。
Bluetooth は最初のころは無料で登録できたのに、今は$8,000だっけか。キットです、みたいな言い訳けでもしないと辛い。
0700774ワット発電中さん
垢版 |
2020/09/05(土) 20:46:55.25ID:WcGO6vYO
DVIやDPと違って、PC以外にテレビ・ビデオ機器といった民生機器との接続も保証する企画なんで
アイショーガーとかは許されない世界になってて、責任とあちこちからお金取れるってとこから
やめられない商売なんだろう
0701774ワット発電中さん
垢版 |
2020/09/05(土) 21:08:52.69ID:4iyvB8qD
認証の有無より取っていないと権利者のごとく騒ぐ自称警察の方が問題じゃね?
0702774ワット発電中さん
垢版 |
2020/09/05(土) 21:42:34.08ID:VZFdOIbl
>>701
彼らの行動の何が論理的に問題があるんだっけか。
0703774ワット発電中さん
垢版 |
2020/09/05(土) 21:57:39.68ID:VZFdOIbl
自称警察の餌食になったときに、正規の訴えがあれば検討する、といえるか。
裁くのはお前らではなく、司法なり、元の権利者だ、と言えるか。
やってるのは俺だけじゃない、司法や元の権利者から訴えられたときに、処罰の公平性を盾にできるか。(ライセンスに関わる
民事だと盾にできなさそう)

炎上すれば面白がるにわか取り巻き(要するに集団イジメのネタに飢えた普通の人たち)が集団で叩きにくるわけだろうけど、
そういう人たちは、飽きるか餌食がつぶれるまで容赦はしないしね。この場合、自称警察よりも問題なのは、その他大勢じゃないかな。
彼らは
(他人を圧迫する罪÷大勢=自分の罪)≒ゼロ
(自分の罪)<(不届き者を叩くことによる世直しという善行の価値)
って信じてる。
0704774ワット発電中さん
垢版 |
2020/09/05(土) 22:07:33.56ID:uG0urUoj
正義のためなら何をしてもかまわないという、
スレイヤーズのリナ・インバースみたいな思考が問題なんだろ
0705774ワット発電中さん
垢版 |
2020/09/05(土) 22:16:21.98ID:+F+wcsO6
>>702
私刑は違法、誹謗中傷も違法
自らは身を隠し己の正義のみをよりどころに他人を叩くなど正当化できる余地など無い
0706774ワット発電中さん
垢版 |
2020/09/05(土) 22:27:24.61ID:VZFdOIbl
>>705
そうなんだよな。

だから「彼らは正規のライセンスを取得していないぞ」が事実であれば、
それだけならを叩くことにもならず私刑にも誹謗中傷にもあたらない。
0707774ワット発電中さん
垢版 |
2020/09/05(土) 22:30:29.32ID:VZFdOIbl
事実の提示のあと、感情的にエスカレートしたときに(ここでもよくあるような)想像で色付けして誹謗中傷に至る叩きが
目立つようになる。問題になるのはそこからだと思う。
0708774ワット発電中さん
垢版 |
2020/09/05(土) 22:48:07.69ID:+F+wcsO6
国際的にはビジネス上の障害になったりしなければ黙認されるのは良くある話だし
「ライセンス違反=重大な問題=炎上」とは限らない
またこれらは権利者が判断すべき事であって第三者が口出しするところではない
あとライセンスなんて知った事かの海外製アイテム放置で、国内製アイテムと
その作者を叩いたところで日本の利益にはならない
0709774ワット発電中さん
垢版 |
2020/09/05(土) 23:09:10.90ID:VZFdOIbl
>>708
>「ライセンス違反=重大な問題=炎上」とは限らない
確かに。重大な問題だから炎上するわけでもなく、面白がる大衆心理が炎上をつくり上げてることが多いと思う。
それとライセンス費を払った人から見ればライセンス費を払わずにのうのうとやってる人は許しにくい存在なんだろね。
今のところ国内製っていうだけで信仰する人がいるわけで、海外製に怪しいものがあってもこの際関係がないだろう。

集団リンチにも似たような炎上や叩きはあちこちであるけれど、かばう側が少ないのは、見て見ぬふりって
小学校のいじめと何も変わっていない。たぶんそこも問題なんだろう。
0710774ワット発電中さん
垢版 |
2020/09/05(土) 23:10:25.51ID:VZFdOIbl
スレチなんで引きます。すみません。
0711774ワット発電中さん
垢版 |
2020/09/12(土) 08:48:48.94ID:VpNX2AMW
XAPP495 (Spartan6用のDVI入出力のサンプル)を7シリーズ向けに少し編集して動作させています。
DVI出力をPC用モニターに接続すると、どのモニターでも期待通りに表示するのですが、
家庭用テレビでは映るモニター、映らないモニターがあります。

DCD(モニター内のEDIDの読み出し機能)は一切実装していないので、それが原因か疑っているのですが
他に可能性があればヒントをいただけると助かります。
0713774ワット発電中さん
垢版 |
2020/09/12(土) 09:46:20.85ID:I2R1AxrS
DVIだってDだのIだの色々あるだろ
ちゃんと対応してるのか?
0714774ワット発電中さん
垢版 |
2020/09/12(土) 10:57:31.17ID:VpNX2AMW
>>712
>>713
説明がありませんでしたが、HDMIケーブルで接続しています。
SCL、SDA は4.7kオームでプルアップしているだけです。
パナソニックのテレビは映るのですがシャープ製が映りません。
0715774ワット発電中さん
垢版 |
2020/09/12(土) 12:53:52.06ID:TcHwMc1A
HDMIと違ってDVIは家庭用機器での動作保証はされてない
最初のネゴでしくじってるかそもそもモニターからなんも送られてないかも
0716774ワット発電中さん
垢版 |
2020/09/14(月) 23:41:03.83ID:mdcK94kK
>>711
出力してる画面モードは?(例: 1920x1080, 60i, YCbCr)
HDMI規格で映せる事が必須になっているDVI互換モードは、720x480/60p or 720x576/50pのRGBだけ
それ以外のPC系の解像度は規格上必須じゃないので、映らなくてもおかしくない
どの画面モードの信号を受け付けるかは、EDIDに書いてある
DVI互換モード以外だと、RGB→YCbCr変換はできる事が多いので、1280x720/60p/RGBが映る可能性が高い
0717774ワット発電中さん
垢版 |
2020/09/14(月) 23:53:07.74ID:mdcK94kK
sinkのedidはただアクセスすればいいとかじゃなくて、そのsinkが受け付けられる画面モードを教えてくれる仕組み
edidを解釈して、映るモードの信号を出すのがsourceの義務
pcでedidを読み出してくれるツールがあるので、映らない家庭用テレビをpcにつないで、edid解析してみるといい
0718774ワット発電中さん
垢版 |
2020/09/15(火) 00:05:35.13ID:J7mZ/4Yy
>>715
>>716
>>717

コメントありがとうございます。
今日、一日いろいろやってみたのですが解決しました。
ほんと申し訳ないのですが、原因はHDMIコネクタの18番ピン(+5V)がつながっていないことでした。
ソース側からシンク側に5Vが供給されておらず、それが原因でモニター側がソースが接続されていることを認識できていなかったような気がします。

5Vを接続すれば、EDIDデータを読み出すことを省略して720Pの信号を送りつけても画像が映ることを確認できました。
シャープ、東芝 など試しましたが全部いけました。たいへん失礼しました・・・・・・
0719774ワット発電中さん
垢版 |
2020/09/15(火) 06:56:30.14ID:gSh24P2r
とりあえずおめでとう。
結局面倒なことは必要ないってことだな。
0720774ワット発電中さん
垢版 |
2020/09/17(木) 12:59:45.37ID:NU5VMSKV
例えばラズパイのHDMI出力をLVDSの1k LCDパネルに映したいのでこの変換部を
FPGAで作る場合どのくらいのFPGAが必要になりますか?
0721774ワット発電中さん
垢版 |
2020/09/17(木) 13:02:17.14ID:bTKkAYEM
HDMIを変換するよりラズパイで直接LCDに出力
0722774ワット発電中さん
垢版 |
2020/09/17(木) 20:35:29.80ID:txN7QT7v
hdmi→lvdsの変換チップ買うだけ
fpgaでやるのはお金と時間の無駄
0723774ワット発電中さん
垢版 |
2020/09/17(木) 20:38:01.82ID:txN7QT7v
本当にraspiならdpiあるから、cmos→lvds変換チップだけでいい
0724774ワット発電中さん
垢版 |
2020/09/17(木) 22:21:31.34ID:esc22WDT
>>722
DigiKey等の一般的な販路で入手可能かつ動作に必要な技術資料や
ツール類も容易に入手可能な変換チップってある?
“HDMI LVDS”でググると思いっきりそれ用のADV7613というチップが
上位に引っかかるけどこれはDigiKeyにもmouserにも売っていないようです

>>721,723
そこは汎用性重視でHDMIから変換したいです
0726774ワット発電中さん
垢版 |
2020/09/17(木) 23:09:48.84ID:37zB4yM9
HDMIの音声パケットの作り方、詳しく書かれてタダで入手できるもの、知りませんか。FPGAからDVIで画面に出力出来るようになりましたが折角なので音声も、と思ってます。
0727774ワット発電中さん
垢版 |
2020/09/17(木) 23:32:24.23ID:esc22WDT
>>725
えぇ・・

>>726
公式からダウンロードできなかったっけ・・・と思っていって見たらなんか入力を求められる.。昔からだっけ?
公式pdfのミラーは転がっているみたいだけどそれでは不足なのかな
0728774ワット発電中さん
垢版 |
2020/09/18(金) 02:25:45.63ID:Hp0Zx/RA
hdmiはオープン規格じゃないから、年会費払ってadopter登録しないと、正式な物は何も手に入らないよ
デバイスも特許技術を実装してるから、会員以外には売ってはいけないことになってる
中華とかで手に入るけど規約的には違反
どこに何個売ったかわからないとロイヤリティ徴収できないからね
hdmi警察で言ってるんじゃなくて、hdmiの規約に、違反したら訴えるから覚えとけ、と書いてある(あった
0729774ワット発電中さん
垢版 |
2020/09/18(金) 07:07:40.34ID:EHXXo4Kk
>>726
High-Definition Multimedia Interface Specification Version 1.3 (pdf)

↑ネットで探せば簡単に見つかる、
これに、作るために必要十分な情報量が書いてある
コレ見て作れないようなら、諦るしかない

言っとくが、"たかがAudio 楽勝だろう" と思ってるなら、その逆
Videoをより遥かに規模がデカいから
0730774ワット発電中さん
垢版 |
2020/09/18(金) 17:02:35.47ID:9Hb1FvD4
>>729
映像よりも音声のほうが遥かに難しいですよね。

ところでARTY のARTIX7基板い接続できるHDMIコネクタ付きの小基板ないかなぁ?
作ろうかなぁ?
0731774ワット発電中さん
垢版 |
2020/09/18(金) 17:33:12.42ID:EHXXo4Kk
> HDMIコネクタ付き・・・

aitendo に、そこそこ置いてある
それが要求に合うモノかどうかは、本人以外に判断しようが無いが
一度、覗いてみると良い
0734774ワット発電中さん
垢版 |
2020/09/19(土) 21:51:34.39ID:Uj/ai0CQ
それは>>728が間違っているのか、それともADV7511とやらが特許権を侵害しているのか…?
0735774ワット発電中さん
垢版 |
2020/09/19(土) 23:47:33.40ID:2A/kp8zW
デバイス買うのダメならテレビ買ってる奴ほぼアウトやな。
0736774ワット発電中さん
垢版 |
2020/09/20(日) 01:22:48.20ID:JNXY+XwC
自宅でテレビを見るためには、NHKの受信料だけではなく、HDMIのライセンス料も支払えってことですかね
0738774ワット発電中さん
垢版 |
2020/09/20(日) 13:35:25.48ID:OC3e0p+G
>>733
本当は駄目。正規代理店だとadopter以外には売れない。chip1はただの販売店だから緩い

>>735
テレビの製造元がhdmi加盟してロイヤリティ払ってる
テレビの販売価格にロイヤリティが含まれてる
0739774ワット発電中さん
垢版 |
2020/09/20(日) 18:14:20.10ID:gzyMgF3c
HDMIのないテレビは少し安く買える(はず)ってことでしょうか
0741774ワット発電中さん
垢版 |
2020/09/20(日) 21:52:51.54ID:r4xfMY6y
hdmiロゴついててhdcp対応してる製品のロイヤリティは$0.04な
デバイスコスト+5円安いけどhdmi付いてないテレビ買う?
外部入力はコンポジットだけ、ps5も繋がらんけど
0742774ワット発電中さん
垢版 |
2020/09/20(日) 22:26:04.26ID:Tk6fLbpQ
うちはテレビでHDMI使ってないんで、5円ほどでも安い方を選ぶよ
0744774ワット発電中さん
垢版 |
2020/09/21(月) 11:24:45.35ID:Dhya1f6O
>>74
コンポーネントじゃなくてコンポジット?VHSでもつなぐの?
HDMIの代わりにDVIやディスプレイポートのほうがいいな。
0745774ワット発電中さん
垢版 |
2020/09/21(月) 14:00:38.60ID:kfVDCfM4
HDMIの映像信号(1080P)を外部メモリ(DDR3)のフレームバッファに取り込んで、90度回転して HDMIで出力することを考えています。
(90度回転すると画面からはみ出るので、実際には縮小させるつもりです)
フレームバッファへの取り込みは受信した順番でメモリに書くので問題ないのですが、それを90度回転した状態で出力するとなると、フレームバッファから読み出すアドレスが飛び飛びになるため、ランダムアクセスようのようにり、時間的に間に合うのかなぁ?と考えているのですがよくわからなくってきました。
大まからなところで構わないので、実装方法についてヒントいただけると助かります。
0746774ワット発電中さん
垢版 |
2020/09/21(月) 15:31:51.89ID:MeqfL95r
その方法以上に効率的なアルゴリズムはないと思うから、
出来ないならFPGAの能力不足でできないんじゃないかな。
まずは左上100x100pxとかだけ処理して出来るかどうか試してみて、どんどん対象範囲を広げていったら間に合わなくてできないのかわかるのでは。
0748774ワット発電中さん
垢版 |
2020/09/21(月) 21:16:57.62ID:jD18CBLC
お金があるなら高速SRAMを使う。今のご時世高速SRAMもバーストアクセス前提だけどそれでも
セットアップ時間はDDR3の1/3以下でありランダムアクセス時のペナルティをかなり削減できる

どのようなアルゴリズムを使うにしろ効率的に処理するためにはある程度の容量のランダムアクセスに強い
ローカルバッファは必須だと思う
0749774ワット発電中さん
垢版 |
2020/09/21(月) 21:47:18.51ID:kfVDCfM4
>>746
コメントありがとうございます。
解決できるようなアルゴリズムがない件、了解です。
実力を知る意味でも小さな画像の回転を試してみます。
0750774ワット発電中さん
垢版 |
2020/09/21(月) 21:50:45.93ID:kfVDCfM4
>>748
なるほど、高速SRAMのほうが確かに有利そうですね。
Cypressが持っていた記憶があるので、いいのがないか調べてみます。
ありがとうございました。助かりました。
0751774ワット発電中さん
垢版 |
2020/09/22(火) 07:48:13.45ID:BrCDXK5H
>>749
書き込み真に受けないでアルゴリズム考えろよ。
縮小必要なら先に縮小すればデータ量は減るし
回転に適したデータ保存方法とか考えろ。
FullHDくらいなら全然間に合うけどな。
0753774ワット発電中さん
垢版 |
2020/09/22(火) 21:48:56.63ID:ELWOSWIf
   ___    クルッ…
  / || ̄ ̄|| <⌒ヽ ))
  |  ||__|| <  丿
  | ̄ ̄\三⊂/ ̄ ̄ ̄/
  |    | ( ./     /
0755774ワット発電中さん
垢版 |
2020/09/23(水) 00:25:04.40ID:5vpaHPXq
>>745
>フレームバッファへの取り込みは受信した順番でメモリに書くので問題ない

いや、問題あるよ。
RGB形式なら1画素に対し1組のRGBデータが1対1で対応しているんだろうけど、
HDMIの映像信号(1080P)とあるから、
たぶんYUVとかYCbCrなどと呼ばれる輝度・色差信号形式だろう。
この場合、色差信号のデータは間引かれている(4:2:2とか4:2:0とか4:1:1)のが普通だから、
90度回転させた映像を出力させるには
単に読み出しアドレスの順番変更だけでは対処できないよ。

メモリに書き込む際、データ内挿して4:4:4にする必要があるだろうな。
ほかにも方法はあるかもしれないが。

DRAM・SRAMのスピード云々よりも、こっちの問題のほうが先だね。
0756774ワット発電中さん
垢版 |
2020/09/23(水) 07:48:36.77ID:lD7YiET6
なんとも、バカバカしい主張だな

> All HDMI Sources and Sinks shall be capable of supporting RGB 4:4:4 pixel encoding.
と規定されてるんだから、 "RGB 4:4:4" を選べばいいだけの話

自分の薄っぺらい知識が普通だと思ってる処が、井の中の蛙
0757774ワット発電中さん
垢版 |
2020/09/23(水) 08:51:39.02ID:udxS+O4O
>>756
最初の行と最後の行は必要?
議論じゃなくて罵倒がしたいのか?
せっかくの正しい情報が霞んで、煽りだけが増幅される書き方だな
0758774ワット発電中さん
垢版 |
2020/09/23(水) 09:40:42.22ID:YvcxP02Y
2|5ちゃんでSN比1/2なんて最高じゃねーか
0763774ワット発電中さん
垢版 |
2020/09/23(水) 15:21:21.48ID:WsX1LKwV
1920px×1080px×15ns=31,104,000ns≒31ms
それなりに節約する必要がありそうだね
0764774ワット発電中さん
垢版 |
2020/09/23(水) 23:23:12.19ID:KyQMMd+z
深夜にジョリーパスタに行ったら、深夜料をとられたので。
安倍政権は年収2000万円嫁つき一戸建てを支給してから退陣しろ。
もしくは、FPGAで年収2000万円嫁つき一戸建てを支給できる企業に就職する
0765774ワット発電中さん
垢版 |
2020/09/24(木) 13:09:37.47ID:jzrWfsTT
>>760 誤爆にレスするのもなんだが
30年前の知識だがローカルが入れる油の入手が面倒といってた。
サラダ油でもいいようだが、ガソリンスタンドに相談してたら一斗缶でかってた。 
0768774ワット発電中さん
垢版 |
2020/09/26(土) 07:32:05.24ID:oEgdjUga
>>763
1080P映像だと1画面の構成が水平2200クロック×垂直1125ライン期間の中に
有効画素が水平1920ピクセル×垂直1080ライン。これが1秒間に59.94枚。
ドットクロック周波数は148.352MHzで、1クロックは6.7nsだね
※テレビ映像の場合。PC映像では1秒間60枚で周波数は148.5MHzね
0770774ワット発電中さん
垢版 |
2020/09/27(日) 09:47:02.59ID:2xuY7l8U
AXI-VDMA の使い方 難しいですね。
試行錯誤してみないとうまく行かなさそう。。。
0771774ワット発電中さん
垢版 |
2020/09/30(水) 18:37:22.66ID:kKR3f1zH
>>766
今だと簡単に手に入れることができそそうですね。
確かGSに頼んでから半年ぐらいかかってたと思う。
0772774ワット発電中さん
垢版 |
2020/10/02(金) 13:24:31.80ID:JHsKCZ+8
回路設計飽きてきたから久しぶりに仕事でRTL書きたい。
が、今の時代自前で作らせてくれない。アートワークとFPGAは外注するものとして定着してきた感がある。
次は回路設計まるごと外注だな。
海外ODMに投げた成果物のチェック、指示と社内フローを進めるためのエビデンスを整備するのが日本人の仕事。。。
0775774ワット発電中さん
垢版 |
2020/10/09(金) 12:47:16.62ID:QBveMhEX
AMDがXILINX買収で協議だって?
FPGAはCPU屋に食われるのか……
0778774ワット発電中さん
垢版 |
2020/10/10(土) 21:36:19.76ID:4XrioaqZ
・用途はマイコン周辺のグルーロジック
・回路規模は74シリーズ等のロジックICで実装できる程度
 最低限必要なのはロジックIC 2個程度
 マイコン内蔵のタイマでパルス幅を測定したり波形を生成したりするのでその部分も出せるとなお良い
・I/O電圧は3.3V
・自分はFPGA未経験(よく判っていない)
ICを複数個使うのをFPGAにワンチップ化したら入力容量や伝播遅延軽減できるかなと思ったんだけどそうは問屋は下ろさないかな?
Latticeの安いのだとワンコイン以下からあるので気になっています。用途が用途なので高額なチップは不可です
FPGA無くても回路組んで気軽にシミュレーションできるツールとかないですかね
0780778
垢版 |
2020/10/11(日) 00:42:06.62ID:HPYkUmUd
>>779
なるほど。CPLDという手もあるのか。しかしググっても古い情報ばかりのような
CPLDも同様に使ったことないです。小さいCPLDでマクロセル32?64?どのくらい入るんだろ
ロジックIC 2個くらいなら当然入るだろうけどそれじゃ本当に入力容量くらいしかメリットが無くなってしまうし
0782774ワット発電中さん
垢版 |
2020/10/11(日) 09:31:14.04ID:XIfbu4Qt
CPLD と FPGA の違いって何?
メーカーがどう言うかの違いだけじゃね?

CPLD は(電源投入時の)コンフィグ要らないやつかと思ってたけど Flash 内蔵 FPGA もあるし
CPLD はグローバルクロックだけとかでもないし。
MAX シリーズは CPLD だったのに FPGA 言い出すし。
0783774ワット発電中さん
垢版 |
2020/10/11(日) 10:01:09.59ID:yxP8gIdp
昔は違いがあった
今は差が無くなった
0784774ワット発電中さん
垢版 |
2020/10/11(日) 10:23:01.61ID:zpBj63f1
小規模ならLatticeのXO2あたりしか思いつかない。
Xilinxはもう放棄に近いし、AlteraもIntelになってから大規模に寄ってる感じだし。
>>778の質問に合うようなおすすめが他にあったら俺も知りたい。
0785774ワット発電中さん
垢版 |
2020/10/11(日) 11:10:38.74ID:e4nRXuFm
>>778
丁度似たような感じで、CPLD始めました。
MAX2に48bitシフトレジスタやカウンタ突っ込んでみてます。
目的は小型化なので速度的な事は考えてないです。
0786774ワット発電中さん
垢版 |
2020/10/11(日) 11:40:23.05ID:umJWtHk9
入手性と開発環境で考えるならMAX10が最適な気がする
初心者向けの本もいくつかあるし
0787774ワット発電中さん
垢版 |
2020/10/11(日) 12:27:18.39ID:uP4/uO9A
最近はzynqしか使ってない。
vivadoはかなり重いよね。
もう麻痺したけど。
ALTERAは軽いのかな
0788774ワット発電中さん
垢版 |
2020/10/11(日) 13:01:24.80ID:yWSf/0rf
xilinxでCPLDだとISE使わないとだね。
intel(altera)のMAXシリーズかLatticeの方がいいのかな。
0789774ワット発電中さん
垢版 |
2020/10/11(日) 13:09:53.15ID:SLnM5WV0
規模=TTL数個程度 & 価格=ワン・コイン とかの条件なら、もう絞られるよな
ところで Laticeって、そこまで安いの出してたか?
0791774ワット発電中さん
垢版 |
2020/10/11(日) 16:11:53.09ID:umJWtHk9
FPGA未経験だと下手に安いの買うとハマって時間かかるから最初は学習費込みで1万円くらい出したほうが良いと思うけどね
Latticeなんか買ったらまともに使えるようになるまで一年くらいかかりそう
0792774ワット発電中さん
垢版 |
2020/10/11(日) 16:55:09.03ID:zpBj63f1
「まともに」ってどんなことなんだろう。人によって解釈がすごく幅がある。
グルーロジックからスタートするならHDLの記述(ここはメーカーに関係ないしね)ができれば、
Lattice固有で特別余計に時間はかかるわけではないと思う。
0794774ワット発電中さん
垢版 |
2020/10/12(月) 14:04:38.44ID:Wr8rpzKV
cycloneボード
とプログラマ、amazonnでもチープなの売ってるな
合わせても3000しない

最近は雑誌付録でボード付くの減った?
0796774ワット発電中さん
垢版 |
2020/10/12(月) 21:16:57.16ID:zbAwODML
>>793
そんな話あったっけ、って思ったらそういうニュースが本当にあったんだね。
https://eetimes.jp/ee/articles/2010/12/news057.html
確かに買収されたら、ラインナップは整理されてしまいそう。

最近、MicrochipからFPGAのWEBINERの案内が来てた。Actel復活?

>>794
本当だ! というか、Digikeyで部品で買うよりずっと安いね。
0797774ワット発電中さん
垢版 |
2020/10/13(火) 09:30:27.94ID:MZhLoLTr
>>794
Amazonで売ってるサイクロンは古いから最近のバージョンは対応してないため古いの入れる必要があるのと500円のライタはパソコンによってブルースクリーンになる。

DE10-Liteがオススメ
0798774ワット発電中さん
垢版 |
2020/10/13(火) 09:51:39.72ID:sIK5Zloc
> DE10-Liteがオススメ
数種類持ってるけど オレも同意見、コスパに優れてる

次はコレ、DE0-CV Board
DE10-Liteに比べると価格は難だが、その分外部端子の類が充実

Xilinxは 総じて高い
此方も何個か持ってるけど、もう 改めて試してみようとは思わない
0799774ワット発電中さん
垢版 |
2020/10/13(火) 13:51:16.27ID:ngSN4ntu
vivadoも6までは切ったしな
しかし最近のツール容量でかすぎ
重いし
古いバージョン不具合なければ
使い続けるのもありかな
0801774ワット発電中さん
垢版 |
2020/10/13(火) 19:27:56.13ID:DqNQsZRs
小規模cpldはlatticeが市場制覇したから、iもxもほぼ撤退した
32マクロセルあれば十分だろうから、mach4000vが最適解
スピードも74シリーズよりかなり速いよ
0802774ワット発電中さん
垢版 |
2020/10/13(火) 19:34:01.42ID:uau8zOy5
CPUメーカーに買収されると、高いCPU売るためにFPGA開発ツールがどんどん重くなる
0804774ワット発電中さん
垢版 |
2020/10/13(火) 20:13:10.48ID:brOYfKbf
lattice良いんだけど
安い奴、もうちょっとSerdesの速度上げて欲しい…

intelがalteraを買収した時は
空いたファブの稼働率を上げる為に
ちょっと安くなるかも?と期待したけど
単にCPU並みに高く売れるICとしての価値しか考えてなかったんだな…的な…
0805774ワット発電中さん
垢版 |
2020/10/13(火) 20:26:02.01ID:IfqrWZXA
quartusが一番使いやすいからintel覇権取ってくれよ

vivadoはプロジェクト開くまでに数分かかるって頭おかしいだろ
0808774ワット発電中さん
垢版 |
2020/10/13(火) 21:30:13.84ID:jSx6+Tzd
まだ5Vロジック使ってるからMAX7000Sシリーズは残して欲しいゾヨ
0809774ワット発電中さん
垢版 |
2020/10/13(火) 22:54:15.48ID:yG9Ncsdd
>>805
開発するときフィッタの違いなんてどうでもいいんじゃないのか?
それより未だにModelsim の機能制限版なんかをバンドルしてたり、
SystemVerilog対応が遅かったり
Alteraは社内ユーザのIntel様対応だけで、社外ユーザはほったらかし
ViVadの方がはるかに好感がもてる
0810774ワット発電中さん
垢版 |
2020/10/13(火) 23:58:32.81ID:2ei+kyNj
modelsimのほうがいいよ
vivado付属は使いづらい
素人に毛が生えた位の俺はどうせ小物しか動かさないし
0811774ワット発電中さん
垢版 |
2020/10/13(火) 23:58:34.71ID:wzMwFDCR
>>808
何年も前に生産終わってるでしょ
入手も無理だから、目の前の部品箱のが最後
0812774ワット発電中さん
垢版 |
2020/10/14(水) 00:07:21.38ID:37N3ChOK
5Vは今更使わんな
どうしてもなら外でなんとかするな
最近のだとI/O3.3Vでも高いときがあるから割り当て悩んだりする
0813774ワット発電中さん
垢版 |
2020/10/14(水) 21:44:24.29ID:ZQnAgOxo
FPGAは近いうちに商用システムに採用されなくなると予想
もうすでに計算用途からFPGAは排除されてる
CLPDのようなグルーロジック用途は残る
0816774ワット発電中さん
垢版 |
2020/10/15(木) 06:30:37.86ID:eI8Iy8yY
FPGAビジネスに先が見えて寺も罪も身売りした
半導体の進歩に限界見えてアジが分社、テクトロ買収みたいなもんかな?
もーダメかもわからんね
どーんといこうや
0818774ワット発電中さん
垢版 |
2020/10/15(木) 09:43:53.89ID:Y393p6Rc
実行中、電源オン毎、数カ月の製品毎に回路構成を変えたいと言う用途がどれだけあるか、だろうね。

しかもGPGPUのプログラマブルシェーダー?とかを使うので追いつかないくらい柔軟に変更したい、という用途があるか
0819774ワット発電中さん
垢版 |
2020/10/16(金) 20:48:37.94ID:0cVVK4gx
面白いことに、派遣を隠して派遣業をしているところで面接すると、相手側から逆ギレして「一ヶ月でやめてこれるでしょ」「君は無能だね」の言葉を引き出したこともある。半導体業界(今はFPGA)の某有名企業だが。ソニーと三菱に派遣して暴利をむさぼっているようだ。自らの技術で稼がず、取締役に落ち着き派遣奴隷商人として搾取できないと平気でそう言うことを言い出して来る人がこの業界。有名人に結構いますね。ああ情けない。

FPGAで年収3000万円ぐらい稼げる転職先ないかな?
0820774ワット発電中さん
垢版 |
2020/10/17(土) 03:29:34.19ID:gNAsjlbb
Intel は本国のエンジニアとのパスを末端のエンジニアまでつくらないと、あぼーんするよ
Xilinxも然り
Gowinは代理店が問題
0826774ワット発電中さん
垢版 |
2020/10/21(水) 02:14:05.33ID:FxUtrrg6
>>822
本国の人間FIREしたんじゃね

元々ALTERAのセミナーとかやっていたのって何処だっけ
0827774ワット発電中さん
垢版 |
2020/10/23(金) 12:37:10.41ID:SLTCGMDd
vivado hlsの高位合成の質問です
2つの関数(共有データから値を読み取って処理して書き込む)をpragma dataflowで並列実行させようとしてるんですけど、vivado hlsの特性上データ共有ができないようで合成ができていません。
何かいい方法を知っている方いらっしゃいますか?
0828774ワット発電中さん
垢版 |
2020/10/23(金) 14:04:01.38ID:hktbjqIY
>>827
axiが2つできちゃうからダメってことかなぁ?
一回キャッシュするとかでできないかなぁ
0830774ワット発電中さん
垢版 |
2020/10/24(土) 07:11:02.46ID:IS5GZgLB
高位合成で思うような論理合成できなかった場合
どーやって対処すんの?あきらめる?
もちろん元のソースが機能的に間違いないという前提で
ソフト的に間違いなくてもこーゆーのは動きませんとか一覧みたいなのあんの?
0831774ワット発電中さん
垢版 |
2020/10/24(土) 07:39:20.53ID:zRrAhht1
1)思うような合成をしてくれるようにソースを書き換える
2)あきらめる
3)べた書き
0834774ワット発電中さん
垢版 |
2020/10/24(土) 11:55:37.97ID:IS5GZgLB
>>833
RTLなら機能云々じゃなくネットリスト相当に記述することも可能だろ
高位合成はそうはいかん
0837774ワット発電中さん
垢版 |
2020/10/24(土) 17:43:22.41ID:6Upr1hfk
サンノゼ
0840774ワット発電中さん
垢版 |
2020/10/24(土) 22:06:15.32ID:gH542tLn
6000万は余程能力が無いと無理だが、2〜3000万は普通に貰えるよ
0842774ワット発電中さん
垢版 |
2020/10/25(日) 01:00:08.01ID:xXEzzq0h
>>839
価値がわからないのはちょっと
サンノゼとかいう得体の知れない奴らの元で働かせるなら
年収6000万円で3年ぐらいなら働いてやってもいいな
0843774ワット発電中さん
垢版 |
2020/10/25(日) 02:01:58.61ID:hjPX42F8
年に 6000 万も払って雇った人材に、
FPGA での回路設計業務なんてさせたら、
色んな利害関係者からボロカスに叩かれそう
0844774ワット発電中さん
垢版 |
2020/10/25(日) 10:08:00.67ID:VwMQbRKd
年6000万円が安いと思わせるくらいインフレが進んだ時代に雇えばいいんだよ
0846774ワット発電中さん
垢版 |
2020/10/25(日) 23:08:57.45ID:/njYWW3x
給料だけ高い大手企業のシャチョウさんに自分でやってもらえばいいんでネーノ。カネ出せないんだろ?
0847774ワット発電中さん
垢版 |
2020/10/27(火) 05:48:19.73ID:6RO/9xQ5
普通に桁が1つ違うと思うんだけど、年600万円だよね?(今さら)
0851774ワット発電中さん
垢版 |
2020/10/28(水) 00:36:01.67ID:OnbcPNOo
>>847
年間フルに働かせてその金額なら、世の中の一般レベルのFPGAデザイナーが仕事をすればいいじゃん
0852774ワット発電中さん
垢版 |
2020/10/28(水) 16:38:28.18ID:HFl6pIYK
AMDはXilinxを買収すると発表した。
AMDは株式交換によって、Xilinxの全株式を350億ドル(約3兆6,574億円)相当で取得する。
買収は規制当局の承認を経て、2021年末までに完了する見込み。
0853774ワット発電中さん
垢版 |
2020/10/29(木) 19:27:03.49ID:JzmNywbn
FPGA周りはバカなのかな
サンノゼで6000万とか、、、コロナ不景気で今暴動起きて治安悪化してるのにな
金に目が眩んでいるようだとさ
アジア系の日本人など中国人と間違えられて銃で殺されるよ
0854774ワット発電中さん
垢版 |
2020/10/29(木) 20:30:48.93ID:PVe7efJi
お前の言いっぷりでは死亡率100%近くになってそうだけど
実際は0.1%もないんじゃねーの?
0856774ワット発電中さん
垢版 |
2020/10/29(木) 21:32:52.87ID:7REygtNe
働かせてもらうことばかり考えないで自分で稼げ。
まあ、稼げればこんなとこ来ないか。
0858774ワット発電中さん
垢版 |
2020/10/30(金) 08:25:05.20ID:F5yJdEV9
>>857
オレは自分でやってるけど?
まあ趣味だし。
頼まれてやることもあるけど。
0859774ワット発電中さん
垢版 |
2020/10/31(土) 16:51:21.62ID:ysJ5AMtf
xilinx 純正のフラッシュrom(XCFシリーズ)がディスコンになってしまった。
代替え品が無さそうなので、そのフラッシュromを採用してる製品自体を生産中止にせざるを得ない状況になってしまった。
困ったなぁ…
0863774ワット発電中さん
垢版 |
2020/11/03(火) 07:19:08.41ID:LFpGVPak
まともにFPGA開発ができる。
知ったかぶり上司がいない。
できる人に仕事を集中させ、到達不可能な目標を設定し、できないと文句を言い出すような事をそもそもせず、スケジュール管理がしっかりと出来ている。
派遣でない。

職場・転職先ってないですかね。
0867774ワット発電中さん
垢版 |
2020/11/03(火) 14:25:23.93ID:CzK2Onvh
中国人だますとバレた時殺される
0868774ワット発電中さん
垢版 |
2020/11/03(火) 18:19:06.66ID:cLYaVTll
イスラム教徒にこっそり豚を食べさせてしまった時に比べれば、死ぬリスクはきっと低い
0869774ワット発電中さん
垢版 |
2020/11/03(火) 18:41:49.57ID:LEpAeecV
小学生のプログラミング教育というのが流行っているらしいから
小学生のFPGA(回路習得)教育というのもアリだろう
0872774ワット発電中さん
垢版 |
2020/11/03(火) 20:51:51.95ID:1U40Of/o
プログラミングは環境が成熟してるから良いが、FPGAは今の環境を使わせるのかわいそうだ。RTLだってそのうちマシン語的な扱いになるだろうし。
0873774ワット発電中さん
垢版 |
2020/11/03(火) 21:17:30.94ID:+vdW6coP
C言語が出来てからもうすぐ50年。未だに使われてる。
ソフトは他の言語もたくさんあるのにHDLはVHDLとVerilogしかない。

むしろ、ソフトはノーコード開発が流行ってるからハードもコードを書かずに
機能モジュールを線でつなぐのはどうだろう?
幸い、ハードはFFとゲートがあれば回路を実現できるのだ。
0875774ワット発電中さん
垢版 |
2020/11/03(火) 22:21:06.91ID:KjD+h9CR
> 機能モジュールを線でつなぐのはどうだろう?

箱と箱とを繋ぐだけの IT土方
オマエも含めた、このスレ住人の事だな
0877774ワット発電中さん
垢版 |
2020/11/04(水) 01:09:03.30ID:fdjHyt3W
最近、元ルネサスななしっくす君のキチガイ余る行動が無くてつまらないな。壊れないオモチャだと思って、罵りあって遊んでいると面白かったのに。
0880774ワット発電中さん
垢版 |
2020/11/04(水) 04:55:03.12ID:fdjHyt3W
おれ思うんだけど
プロジェクトマネージャーって
アニメの世界の制作・進行 やん
あれ、何でこの業界給与高くなんの?
一番下っ端の仕事でよくね?

FPGAやる人間の人数減りすぎて
仕様・設計・検証もろもろ一人でやってたのよ
転職したら、プロジェクトマネージャまでやれと言い出した挙げ句、納期短縮の為新人を教育せえといわれ
出来るわけねえやんつうか逆に納期伸びるやンもうねアボガド
でできねえと怒られるんだ。

なんの罰ゲームなのかわからんが、まじで鬱っぽくて
ワイはなんか精神科かなんかに駆け込んだらなおるンか

あるいはまともな会社(あんのか?)に転職したらなおるンか
0881774ワット発電中さん
垢版 |
2020/11/04(水) 11:03:33.83ID:CESE/1Tx
最近はリッチなコードジェネレータやフレームワークなどのプラットフォームがエコシステムなどともてはやされているけど
簡単に作れるって事は誰でも作れるに他ならない。有用だと認識されれば簡単にパクられるし、アイデアと資金勝負になる
それって本当に日本の利益に貢献しているのだろうか。誰かに金儲けのネタを提供しているだけじゃないのかな
日本じゃ資金調達や迅速な立ち回りが難しいし世界レベルで競争になったら勝ち目無い
0882774ワット発電中さん
垢版 |
2020/11/04(水) 11:28:16.48ID:3LWLHkgt
ITサービスは技術力もさることながら
アイデアとスピードだからね。
メルカリに似たようなサイト作れたとしても後追いで儲けられるとは思えない。
LINE のメッセンジャーと同じのくらいお前らならすぐ作れるだろ。
0884774ワット発電中さん
垢版 |
2020/11/04(水) 15:03:50.70ID:12G5VzE/
>>880
昔は臺灣が良かったけど
今は臺灣行っても結局大陸で仕事させられるよな
0885774ワット発電中さん
垢版 |
2020/11/04(水) 15:20:04.06ID:BRU6PuNX
メルカリって転売厨と情弱の巣窟じゃね
日本の利益に貢献しているようにはちっとも見えない
転売厨とかちゃんと税金払っているのかな?
0887774ワット発電中さん
垢版 |
2020/11/04(水) 22:00:45.36ID:I+kUT/lr
スピード感あるのは大事だけど、スピード感重視で作ったシステムはでっち上げみたいな部分があって、
そのような技術的負債をどのようになくしながらシステムを成長させるかってことはかなり経験と知識がなければ出来ないことだから、
そうそう簡単に誰でも持続可能なサービスを作れるようにはならないと思うよ。
簡単なことしかやってないWeb屋とかはやばいと思うけど。
0894774ワット発電中さん
垢版 |
2020/11/08(日) 04:36:24.54ID:/oaOV55Y
明日からまた会社かよ嫌だ嫌だ嫌だ会社行きたくない会社行きたくない会社行きたくない会社行きたくない>>891に代わりに行ってもらいたい
0895774ワット発電中さん
垢版 |
2020/11/08(日) 05:28:47.53ID:Ye7rLzrI
>>882
LINEは国内データセンターをまるごと自社で所有してネットワークやストレージ独自設計してる会社だよ。
国内では珍しいと思う。
データセンターを借りてる訳ではなくてカスタムしたデータセンターを丸ごと一台所有してる企業だ。
GoogleやAmazon以外にはあまりないと思うぞ。
簡単に作れると思ってるとだな、、、ムリだ。
0896774ワット発電中さん
垢版 |
2020/11/08(日) 10:40:08.47ID:Ng7I4AWm
YoコミゾひまわりKenjiさんという、激レジェさんがいるらすぃぞ〜
0898774ワット発電中さん
垢版 |
2020/11/09(月) 23:10:13.59ID:8/sjp9y6
お前らもっと仕事しろ
俺の仕事がなくなるくらい人工知能を発展させてみせろ、一刻も早く
0901774ワット発電中さん
垢版 |
2020/11/10(火) 00:09:18.19ID:b6JrSv50
月給200万円程度 嫁付き温泉付き一戸建て住宅も提供できないクソがオレ様に仕事を頼むなよ
0905774ワット発電中さん
垢版 |
2020/11/10(火) 19:49:12.42ID:8+sMomgj
zynqボード、中古が安く出回ってるな
マイニング用だったらしい
0907774ワット発電中さん
垢版 |
2020/11/10(火) 20:16:54.28ID:0r6n68wN
こないだまで1000円ちょいだったけど
この円安で高くなってる。
0911774ワット発電中さん
垢版 |
2020/11/11(水) 23:17:25.47ID:9UISyV5p
Linuxでオレ様の作った超スゴイIPをON/OFFしたいんやけど、最適なソリューションは、raspberryぱい?
なんかおかしくね
0912774ワット発電中さん
垢版 |
2020/11/12(木) 00:02:58.57ID:jDIHw4dF
on/offにどういうシーケンスが必要かによるのでは
簡単だったらarduinoで十分だし
0915774ワット発電中さん
垢版 |
2020/11/12(木) 11:33:26.27ID:NLflABMx
ラズパイでやりましたって内容は大抵はラズパイじゃなくても出来るし
ラズパイじゃないやり方の方が効率が良かったりエコだったりする
0916774ワット発電中さん
垢版 |
2020/11/12(木) 19:02:34.24ID:q/XCgmsu
ラズパイでDAP作りました(10年以上前のDAPより電気食い)とかな
0923774ワット発電中さん
垢版 |
2020/11/13(金) 10:59:46.96ID:OiPrWbo0
AMDがxilinx買ったのか・・・前にVantis売ってなかった?
やっぱり必要だったんじゃないかよう。
0924774ワット発電中さん
垢版 |
2020/11/13(金) 22:20:50.17ID:c6e9/dXT
COVID-19のおかげで地元に帰らなくて済むのが嬉しい
あと3年ほど続け
0926774ワット発電中さん
垢版 |
2020/11/14(土) 19:26:45.74ID:YSUoaPlk
nMigen使ってる人いる?
0927774ワット発電中さん
垢版 |
2020/11/16(月) 23:35:00.39ID:r/PrGpSQ
何のことかと思ったら高位合成的なやつか
仕事で使う機会はなさそう
0928774ワット発電中さん
垢版 |
2020/11/17(火) 22:05:47.96ID:H9a9A5RN
高位合成ってプロトタイプ用って印象
うごきゃいいみたいな
0929774ワット発電中さん
垢版 |
2020/11/17(火) 22:24:20.26ID:udAJVq3/
高位合成がいいって言う人って、HDLすら書けずに喚くよね

どうぞ、高位合成でお客様が望むサービスを実現させてください

その高位合成とやらでコードを書いて、実現させてください

って感じなんだが。
0931774ワット発電中さん
垢版 |
2020/11/17(火) 22:32:09.10ID:aASn8yIu
>>901
おまえに出せるサラリーならせいぜい200万/年かなwww
口だけの雑魚は不要
0932774ワット発電中さん
垢版 |
2020/11/17(火) 22:34:29.64ID:N9vDqpV4
Verilog や VHDL が実用的になってもう30年。
しかしそれ以外で真っ当に使える開発ツールがないのは致命的な気がする。
ソフトならPythonとかRustとか、その前だとJavaとかPerlとかあったのに、
ハードはずっとHDL。
まあ、ソフトでCも現役だけど。
0933774ワット発電中さん
垢版 |
2020/11/17(火) 22:36:25.92ID:aASn8yIu
>>929
お客さんに提供するんじゃなく
ソフト仕上げて、それが実機で動くかどうか自分の確認用に動かしてみるんだろ
ちょうど、アートワークの自動配線と一緒
チャンコロでもそのまま売り物にしようと思わんだろ。
0937774ワット発電中さん
垢版 |
2020/11/18(水) 22:01:33.54ID:BtwoM0qr
名古屋のラーメン屋さん、大きい音を立ててすする行儀の悪いおっさん多すぎません?
0940774ワット発電中さん
垢版 |
2020/11/19(木) 21:17:55.25ID:MUp7h/S1
INTELのNIOS2のCコーディングについてなんですけど、
独学である程度使えるレベルになるのって難しいですか?

FPGA処理メインでちょこっとマイコン載ってたら便利ってぐらいのことがしたくて、
2016年頃にCQ出版からでてるMAX10にNIOS2突っ込んで使うって本にそこそこ載ってたんで、
軽くまあいけるかと思ったんですけど、
結局NIOS2のCコーディングが上手くいかず、
期限があったのでRLマイコン追加してNIOS2代替えするという力技を使いました…

自分のCコーディングのレベルがH8,PIC,AVRくらいで割込やUART,i2c,PIO使って、ほぼstdio.hくらいしか使わずに書ける小規模でオールドスクールなやり方しかしたことがないところもあって、勘所が悪かったということもあるのですが、
CQ本の方にもINTEL公式の「NIOS2ソフトウェア開発ハンドブック」と「ペリフェラルIPユーザガイド」を参考にしろよってあったんですけど、
正直ルネサスとかと比べて内容がざっくりし過ぎてて、情報少なすぎない?って感じでした。

ネット検索してもポーリングでのprintfでuartに出力してるのとかLチカしてるのとか、
もしくはアルティマは結構一つの機能に絞った記事を何本も出しているのですが、
こっちもずばりがあれば良いのですが、無いとi2c受信をfifoに突っ込んでmsgdmaでsdramに格納してって、i2c使うだけでそんなに大層なこと必要ですか?って状態になって、
まあ実績あるなら別ですけど、動きませんよね…。そもそもCコーディングのハードル爆上げですから

で何が言いたいのかというと、FPGAにちょこっとマイコンというのは自分のニーズにあるのでFPGAマイコンは習得したいです。
ただ正直NIOS2のCコーディングをやっても物になるか微妙なのとNIOS2自体どうなのよ?(ここ5年くらいはCQ雑誌系で取り扱いが多かったので、
結構使えて情報も入手しやすいのかと勝手に思ったけど…)ってところと、逆にXilinxのMicroBlazeはというとNIOS2より情報少なそう、
じゃあArmかRISC-Vなら難易度は上がるがCコーディングについての情報は結構豊富とか考えたのですが、
どれがおすすめとかあれば教えて下さい。

NIOS2も時間かければいけなくはないと思うのですが、公式資料が微妙でAPIの記載はあるのですが、
マクロはヘッダーに記載されているのを検索で見つけて、察するレベルだったので、とりあえず動いていても確信がもてないというのと、
獲得できるものがNIOS2特化スキルだとすると費用対効果悪すぎないかとか…。
情報量が豊富過ぎるH8とか比べるの悪いのですが、NIOS2の場合、周りに詳しい人がいるか、代理店との付き合いがあるか、セミナー行くとかしないと厳しくない?って思ったもので
0942774ワット発電中さん
垢版 |
2020/11/19(木) 21:30:23.16ID:MGVLad3f
FPGAの時点で費用対効果は悪いものだと割り切ってください…
0943774ワット発電中さん
垢版 |
2020/11/20(金) 09:20:36.11ID:fqj3K/XJ
返信どうも

>941
1次代理店から石買ってないし、ツールも無償の使ってるし、
まともなサポートは難しいかな…

>942
CPUと比較して費用対効果がという話ではなくて、
どうせソフトCPU(ARMは違うけど)プログラミングするならNIOS2より〇〇がとか、NIOS2のここが良いとか、
実際に使ってる人の肌感みたいな話が聞けたら助かります。
0944774ワット発電中さん
垢版 |
2020/11/20(金) 09:51:53.96ID:CV5TrQxF
マイコンはマイコン、FPGAはFPGAで組んだほうが幸せになれそう。
XilinxのZynqもLinux動かすのが前提で全体が見えないし。最近はベアメタル情報も増えてきたけど。
0945774ワット発電中さん
垢版 |
2020/11/20(金) 10:39:08.09ID:UFPROvHN
NIOS2のJTAG-UARTでコンソールに「Hell・・・・」ってだ出すだけなのに
ブロックRAM32KBじゃ足りなくて40KBくらい必要だったかな
NIOS2のEclipseも実行できるまで手間取ったわ
マクニカのホームページ見ながら何とかできた
0946774ワット発電中さん
垢版 |
2020/11/20(金) 10:57:59.38ID:KDUqKM47
何処で躓いたのか解らないが、↓は同意だね
> 無いとi2c受信をfifoに突っ込んでmsgdmaでsdramに格納してって、i2c使うだけでそんなに大層なこと必要ですか?
DMAが出てくると身構えてしまうよな・

Linux走らせるのが前提だと、こうなっちゃうのも仕方ないが…


文脈からして、"チョッとした設定等でi2cを使いたいだけ" って事か思うが、もしそれなら
"Nios II - PIO を使用した I2C-Bus (2 ワイヤ)マスタの実装"
で十分だったんじゃないかな
i2cは速度が知れてるから ソフトI/Oでも十分だし、
それならどんなマイコンだって実現可能、で "C"の知識も最低限で十分
0947774ワット発電中さん
垢版 |
2020/11/20(金) 14:32:39.26ID:4g949QYk
>>940
難しく考えすぎ
msgdmaはいらない、i2c_masterだけ置けばいい
ただしi2cのインターフェースはavalon_slaveにする
あとはembedded peripheral ip ug読め
そこにレジスタ、APIの説明とサンプルコードがある
0948774ワット発電中さん
垢版 |
2020/11/20(金) 15:17:35.22ID:Y5oHDiJa
加速度センサーで傾き取得して画像変換するやつ作った時は
面倒くさいからI2CもRTLで書いた。
0950774ワット発電中さん
垢版 |
2020/11/21(土) 10:03:18.79ID:t6OpivGC
無償のNios2 エコノミー、Micro Blaze MCSだと内蔵RAMだけでSDRAM使えないよね
0952774ワット発電中さん
垢版 |
2020/11/21(土) 18:11:45.45ID:4wcSLtAd
>>950
えっ?
0954774ワット発電中さん
垢版 |
2020/11/22(日) 18:47:34.22ID:N5qciGuL
サンプルコードだけでSPIは簡単に動いたけどな
hdlは少し弄ったけど
0955774ワット発電中さん
垢版 |
2020/11/23(月) 23:23:50.66ID:PB7sAZyK
仕事したくない仕事したくない仕事したくない仕事したくない仕事したくない仕事したくない仕事したくない仕事したくない
どうすれば仕事せずに生きていけるんだ教えてくれFPGA
0957774ワット発電中さん
垢版 |
2020/11/24(火) 02:06:40.94ID:qB1G8Eqi
NIOS IIは圓山氏や小林氏の本を読めば最低限使えると思う
むしろavalon-stやDMAの簡単なチュートリアルがほしい
0958774ワット発電中さん
垢版 |
2020/11/24(火) 22:59:14.05ID:3Qilvokg
仕事したくない仕事したくない仕事したくない仕事したくない仕事したくない仕事したくない仕事したくない仕事したくない
仕事したくない仕事したくない仕事したくない仕事したくない仕事したくない仕事したくない仕事したくない仕事したくない
お前らもっと仕事しろ、俺が仕事をする余地を作るな
0959774ワット発電中さん
垢版 |
2020/11/25(水) 15:39:44.32ID:8vxDD8jE
リーダーが美人やイケメンだと無条件で信用してまう
外見て大事だよな
0960774ワット発電中さん
垢版 |
2020/11/25(水) 15:47:10.02ID:8vxDD8jE
どうせ理不尽にいじめられるなら好みの美人やイケメンに虐められるほうがいい
大して旨みが無いのに不細工やブスに理不尽に虐められる筋合いは無い
それこそ高待遇じゃないとやってられないよ
0961774ワット発電中さん
垢版 |
2020/11/25(水) 17:15:02.51ID:8vxDD8jE
元ヤンならダチが助けてくれるだろうから部外者は余計な心配しなくていい(適当)
0962774ワット発電中さん
垢版 |
2020/11/27(金) 00:01:09.84ID:sKiXac60
俺にいいぃぃぃぃ、仕事をおおおぉぉぉ、させるなああああぁぁぁぁぁあああ!
0963774ワット発電中さん
垢版 |
2020/11/27(金) 00:09:40.67ID:J/pIML4l
AXI-VDMA の使い方がわかりやすい解説されているサイトってないでしょうか。
0970774ワット発電中さん
垢版 |
2020/11/28(土) 17:52:20.05ID:Ga5lr8ls
Arrowは前から激安のところだからな、 $73.92 になってるよ
これ、古いヤツだよな(Terasicのヤツと同じ物?)。 売れ残ったのかな?

HPS/FPGA 両側に1GBだから 悪くはないと思うが、 オレはいらん
しいて言えばI/Oが特殊ヘッダのみ、HDMIが付いていない
まぁ そんなところ
0972774ワット発電中さん
垢版 |
2020/11/28(土) 18:16:19.20ID:BR3wnn0a
>>970
うーん、微妙ですか…
もともと欲しかったZYBOに比べると大分安いと思ったのですが
0973774ワット発電中さん
垢版 |
2020/11/28(土) 19:27:45.27ID:Ga5lr8ls
I/Oのピンヘッダは2.54mm じゃ無くても可、HDMIも無くてもかまわない
そう言う人なら十分だと思うよ、安いのは確かだし

オレは既に何種類か持ってる、 だからイラネとなった だけ
0974774ワット発電中さん
垢版 |
2020/11/28(土) 21:41:31.65ID:BR3wnn0a
>>973
この基板って特殊なコネクタしかないんですね
2.54mmを使おうと思ったら7000円の変換基板が必要と…

ご意見ありがとうございます
やっぱりZYBOにしときます
0975774ワット発電中さん
垢版 |
2020/11/28(土) 22:16:19.67ID:Ga5lr8ls
Xi/Altera 共に、ARM 内臓のヤツは要注意な

この手のヤツは、 ボード上のDRAMは ARM側での使用を前提にしてるからな(Linuxを走らせる)
もし、FPGA側で使う事が主目的なら、イロイロと制約が付いてくる事になる
具体的に言うとレイテンシ、かなりデカイよ

用途によっては遅すぎて使えない => 無いも同然 だから
0977774ワット発電中さん
垢版 |
2020/11/30(月) 09:35:02.63ID:A5PLguiZ
だろうな
Zyboとか、メモリシステム一個だけだから 上の方で引き合いに出されてる Arrowの"SOCKIT" にも劣る
せいぜい 安物ARM-SBC以下の内蔵-ARMで、"hello world"出して終わりだな

* 内蔵-ARM: Pi-Zeroより上なのは確かだが…、精々その程度
0978774ワット発電中さん
垢版 |
2020/11/30(月) 11:22:32.50ID:dQu9c1b0
Linux走らせてさ。
Etherでデータ受信してさ
自作IPに処理させてさ
Etherでデータ送信

するにはどーすんの

FPGAでLinux動きました。HelloWorldですどうだすげえだろ
のマスターベーション でしか売れないのか。給与べらぼうに高いくせに
0981774ワット発電中さん
垢版 |
2020/11/30(月) 23:15:40.68ID:BrPhJNUN
>EBAZ4205
マイニング用だったから腐るほど在庫あるんだろうな
専用アクセサリまで作られてて草
0982774ワット発電中さん
垢版 |
2020/12/01(火) 18:00:26.65ID://Yx1N0n
FPGAって古くなると開発環境の準備からして難しくなるような
マイコンなら古くてもコンパイラとマニュアルを用意できれば何とかなるけど
0983774ワット発電中さん
垢版 |
2020/12/01(火) 18:09:36.79ID:WJfp8/eH
XもAも結構古いバージョンの開発環境をダウンロードできるよな?
マイコンの方が古いコンパイラを入手できないのでは?
0985774ワット発電中さん
垢版 |
2020/12/01(火) 18:32:38.76ID:WJfp8/eH
わかった、入手が難しい(ことがある)のはコンパイラじゃなくて書き込みツールだったわ
0988774ワット発電中さん
垢版 |
2020/12/01(火) 20:14:13.07ID:f5en4n43
むしろさ、なんで開発できないと思うわけ?
開発のやり方が分からないものを買ってから悩んでんの?

マイコンの方が、新しいものも古いものも開発のやり方がバラバラで調査に疲れるわ
0991774ワット発電中さん
垢版 |
2020/12/01(火) 22:07:19.78ID:yi12A7Ps
Lチカができりゃ大体のことはできる

とはいえ、たまに、main以外の関数を1つ作っただけで、プログラムが全く動かなくなったような経験もあるけど(マイコンの話)
0992774ワット発電中さん
垢版 |
2020/12/01(火) 22:21:01.36ID:YSfRVqk5
MATLAB HDL Coderを使ってる人っていますか?
宣伝文句だけみると全部Simulinkで書いて変換すればHDL部分は完成するってイメージもっちゃうんですが
0993774ワット発電中さん
垢版 |
2020/12/01(火) 22:33:29.40ID:3XmmEwnu
使ったことないけど、どうせ他の高位合成と一緒で流行らないんじゃね
0994774ワット発電中さん
垢版 |
2020/12/02(水) 00:04:02.06ID:j8C12T5r
そんなことよりお前らもっと仕事に励めよ
人工知能を搭載したハードウェアアクセラレータが全てを解決してくれる未来をみんなが待っているぞ
0995774ワット発電中さん
垢版 |
2020/12/02(水) 01:00:51.45ID:is0zuOM+
>>992
生成できるブロックだけを使うと、きれいなHDLを吐く

それ以外は手間が増える
0996774ワット発電中さん
垢版 |
2020/12/02(水) 01:17:44.44ID:is0zuOM+
最初っからSimlinkで書かれているならともかく、

そうじゃないなら、数式からブロック図→HDL設計したほうが幸せだろう

https://www.mathworks.com/content/dam/mathworks/mathworks-dot-com/solutions/automotive/files/jp-expo-2013/image-processing-ip-core-using-hdl-coder.pdf
の18ページ

大手電機メーカーで扱えるのは、ねっく社

使えると言われて困ったのが、みかか社

何も知らないで聞いてきそうなのが、Fソ○ト社・各代理店

信号処理扱った事の無い、中小FPGA下請け


こんな所でせう
0999774ワット発電中さん
垢版 |
2020/12/02(水) 02:32:08.93ID:izuBQabc
>>996
担当者が素人ってことは新人研修かな
3か月で一応それっぽいものが作れたならOKという考えもあるかも?
大学の研究室なら重宝しそう
10011001
垢版 |
Over 1000Thread
このスレッドは1000を超えました。
新しいスレッドを立ててください。
life time: 248日 19時間 46分 24秒
10021002
垢版 |
Over 1000Thread
5ちゃんねるの運営はプレミアム会員の皆さまに支えられています。
運営にご協力お願いいたします。


───────────────────
《プレミアム会員の主な特典》
★ 5ちゃんねる専用ブラウザからの広告除去
★ 5ちゃんねるの過去ログを取得
★ 書き込み規制の緩和
───────────────────

会員登録には個人情報は一切必要ありません。
月300円から匿名でご購入いただけます。

▼ プレミアム会員登録はこちら ▼
https://premium.5ch.net/

▼ 浪人ログインはこちら ▼
https://login.5ch.net/login.php
レス数が1000を超えています。これ以上書き込みはできません。

ニューススポーツなんでも実況