X



トップページ電気・電子
1002コメント286KB
【FPGA/CPLD】 XILINX/ALTERA/Lattice/Actel #25
レス数が1000を超えています。これ以上書き込みはできません。
0415774ワット発電中さん
垢版 |
2018/07/22(日) 18:40:55.43ID:lO2tUE+R
雑談は盛り上がっていなのに、FPGAの話になると劇盛りさがるって
さすが5chの俺らのFPGAスレだよな
0416774ワット発電中さん
垢版 |
2018/07/23(月) 10:29:11.33ID:6nBEXZ+m
>>407
電源電圧が違うROMが実装されていて、似たような症状が出たことがあるよ。
設計は1.8Vなのに、定格電源電圧2.5VのROMが実装されていた。
0417774ワット発電中さん
垢版 |
2018/07/29(日) 14:36:03.55ID:v3oTIeMV
こうネ
日本の電気業界で技術ネタもねーからさ
3階建ての年金部分なんてまったくもらえねーんだろな
0418774ワット発電中さん
垢版 |
2018/07/29(日) 15:17:55.88ID:7QWjvGWy
電気に限らずネタはあると思うよ。ただ出る杭は打つで積極的に潰しているだけでw
0419774ワット発電中さん
垢版 |
2018/07/30(月) 15:25:39.32ID:0oOLPawr
画期的な技術のネタ発見!なんてのは、大概尻すぼみだしな
マグネシウムエネルギーなんて、どうなったんよw
0420774ワット発電中さん
垢版 |
2018/07/30(月) 21:12:12.71ID:OTQQxgZ0
電機業界ってか電電三社ようなIT関連は長年の過労な為か早死多いので年金運用は他より楽って聞いたぞ
0421774ワット発電中さん
垢版 |
2018/07/31(火) 21:01:19.20ID:/Jb/n69b
Artix-7の暗号化したBITファイルをお客さん(というか友達)に提供したいのですが、
初心者に使いやすいコンフィギュレーションツールはないでしょうか?

iMPACT(が入っているLab Tools)はダウンロードに時間がかかりますし、
Digilent Adept2は暗号化BITファイルに対応していない(nkyファイルの書き込み方が不明)ようですし、
Xilinx USB JTAG Programming というツールもあるようですが Spartan3E Starter Kit 専用のようですし
0427774ワット発電中さん
垢版 |
2018/08/02(木) 10:52:48.35ID:fdXN/Xqh
>初心者に使いやすいコンフィギュレーションツール
そんなものは無いな

専用のconfig用USB付きマイコンを基板に載せて
D&Dでコンフィグとか作れば
0428774ワット発電中さん
垢版 |
2018/08/02(木) 11:08:30.13ID:uQez3g3h
MAX10使えば済む話
0429774ワット発電中さん
垢版 |
2018/08/02(木) 12:36:49.22ID:kwtj0IP1
三味線。
0430774ワット発電中さん
垢版 |
2018/08/02(木) 15:36:58.08ID:fdXN/Xqh
ブレッドボードで使えそうなFPGAカードがいつの間にか秋月に
akizukidenshi.com/catalog/g/gM-13487/
Spartan-7, \8980
USBで書き込みでできて、チョイ使いにはいいかも
0432774ワット発電中さん
垢版 |
2018/08/02(木) 17:17:32.02ID:kwtj0IP1
最近よく帰りの電車でモデルシムと
睨めっこしてる人を見る、、、
0434774ワット発電中さん
垢版 |
2018/08/02(木) 18:15:18.34ID:uCN8h02D
初心者質問で申し訳有りません
VerilogHDLで、下位モジュールに複数ビットの変数や配列を読み込ませることはできますか?
もしできるならその時は変数名だけ書けばいいんですか?
よろしくお願いします
0436774ワット発電中さん
垢版 |
2018/08/02(木) 23:46:19.28ID:UeF69ua/
>>422-423>>427-428
知恵を貸していただきありがとうございました
現在、xc3sprogのソースコードとにらめっこして、どうにかツールを自作できないものかと考え中です
…が、FTDIやJTAGを勉強したことがないので苦戦しています(^^;
MAX10なら簡単に解決するのでしょうか。調べてみようと思います
0437774ワット発電中さん
垢版 |
2018/08/03(金) 00:00:10.54ID:wY7IwZg0
>>436
xapp1191 とか見つけたけど。SPI FLASH の書き込み程度どうにでもなるんじゃない?
0438774ワット発電中さん
垢版 |
2018/08/03(金) 00:40:58.78ID:bZ/SsXIl
>>437
ありがとうございます
SPI Flashは使わないですが、JTAGの使い方は参考にさせていただこうと思います
0439774ワット発電中さん
垢版 |
2018/08/03(金) 07:13:57.66ID:w1NHzRO0
>>430
チップ直下が一番曲がり易くてストレスがかかりそうな構造だ。
抜き挿しに気を付けないと
0440774ワット発電中さん
垢版 |
2018/08/03(金) 11:15:41.50ID:MqUzX9ma
>>431のような「ホビー向け」というのは、普通のFPGAボードと一体何が違うのん?
0441774ワット発電中さん
垢版 |
2018/08/03(金) 11:18:22.08ID:LQJqsOp2
xilinx用JTAGで小さい
TE0790-02 なんてのがあるんだな
ケース付きの方がいいけど
0442774ワット発電中さん
垢版 |
2018/08/03(金) 18:16:09.16ID:U5UJEvVR
>>440
・安い
・信頼性は低い
・供給責任は負わない
・スイッチやLEDなどの簡易なI/Fが充実してる
ってあたりでは?
0443774ワット発電中さん
垢版 |
2018/08/03(金) 20:53:12.31ID:nSg3FXJp
DE10-Lite と比べると、
FPGAの規模、周辺-I/O、値段 の何れでも負けてる
勝ってるのは、搭載D-RAMの容量 と ボードが小型 ぐらい
微妙だな
0446774ワット発電中さん
垢版 |
2018/08/03(金) 23:46:39.32ID:qa+tJv2w
basys3とかnexys4 ddrとか最近おもちゃというか教育用にいいかなと思い始めてる
0448774ワット発電中さん
垢版 |
2018/08/04(土) 08:05:51.48ID:sZ0nB3JX
Digilentで高いって発想が、おもちゃだよな
mimasは確かに安いが、コンフィグが専用ツールっていうのがダメだ
ChipscopeもMicroBlazeのデバッグもできない
0449774ワット発電中さん
垢版 |
2018/08/04(土) 08:58:18.80ID:Pv1atxQB
相変わらず低レベルだな
オマエには、転職相談がお似合いだよ

上の方で、 糞のような転職話で賑わってたから そこで相談でもしてろ
0450774ワット発電中さん
垢版 |
2018/08/04(土) 13:07:34.98ID:NiMAvdiF
>>448
ひどい言われようをされた気がするけど、今の話題はおもちゃ(教育用)だろ?
0455774ワット発電中さん
垢版 |
2018/08/05(日) 01:54:06.45ID:KDGc3Ia7
レッツノート分解し難いから嫌い
0457774ワット発電中さん
垢版 |
2018/08/05(日) 07:35:23.83ID:Xx6VTalg
>>453
コンサルみたいなところに丸投げすればいいでしょ
電気関係の知識や常識が皆無でも金さえあればできるよ
コンサルがどういう仕様にするかまで相談してくれるからね
0458774ワット発電中さん
垢版 |
2018/08/05(日) 12:09:33.45ID:WbLpMsO9
どっか金をたくさんだす転職先ないすか
0461774ワット発電中さん
垢版 |
2018/08/05(日) 13:22:51.42ID:Xx6VTalg
マイニング用のASIC作ってるところなら景気いいんじゃない?知らんけど
0462774ワット発電中さん
垢版 |
2018/08/05(日) 14:04:48.27ID:8+RcXr5V
マイニング用ASICはマイニングコアが大量に並んでるだけだから、
論理設計屋はそんなに人数要らない。
0464774ワット発電中さん
垢版 |
2018/08/05(日) 19:12:16.89ID:7VWM3zT8
FPGAでマイニングしよ。
0465774ワット発電中さん
垢版 |
2018/08/05(日) 20:16:56.65ID:ajyCTud/
ったくマイニングがもう飽和してるからってどいつもこいつも先行者利益のために仮想通貨自分で作りやがって
0471宇崎
垢版 |
2018/08/07(火) 00:03:16.90ID:BKCF7DIn
真っ赤なポルシェであって
決して
真っ赤な車
は嫁のではありません
0472774ワット発電中さん
垢版 |
2018/08/07(火) 00:14:07.79ID:2Hf6/9F4
真っ赤なクルマは消防指揮車と並んで停まるとなんか、恥ずかしい
0475774ワット発電中さん
垢版 |
2018/08/07(火) 09:53:49.33ID:lUeXBwwH
スキルの証明ってやつが必要なんじゃないの?
 −母さん僕のあのスキルどうしたんでしょうね?ええ、面接にいくときに落としたあのスキルですよ。
0477774ワット発電中さん
垢版 |
2018/08/07(火) 11:06:43.73ID:9hd92RO2
どんぶらこ流れて海に出て藻屑の肥料だよ
いわせんな 恥ずかしい
0478774ワット発電中さん
垢版 |
2018/08/07(火) 11:27:23.04ID:lUeXBwwH
図らずも図星のひときてんね。
 −海の流れに棹さして陸に辿り着けるか試されるがいい
0490774ワット発電中さん
垢版 |
2018/08/11(土) 13:05:07.17ID:G1Z+Jvc9
製造装置のオペレーターは派遣かもしれないが、
技術者は自社じゃないのか?

まぁ、管理者以外ほぼすべてが派遣なんて会社も最近はあるけど
技術の蓄積が起こらないから早晩倒産か身売りすることになるな
0491774ワット発電中さん
垢版 |
2018/08/11(土) 17:52:52.36ID:kFXJqxZc
ルネサンスか
0492774ワット発電中さん
垢版 |
2018/08/12(日) 08:04:32.67ID:xv2ncyMF
結局、金をだすFPGA転職先ないのかw
日本技術者みんなバカになったもんねw
0494774ワット発電中さん
垢版 |
2018/08/12(日) 22:24:43.62ID:uk+RwumQ
どこの派遣会社に登録すればルネサ(ン)スで働けるのでしょうか?!
0496774ワット発電中さん
垢版 |
2018/08/14(火) 08:06:18.05ID:8XvGBl4E
>>495
検索しても漫画作品しか引っかかりません。何かのギャグでしょうか?!
テレビアニメ化のOP曲名が「ルネッサンス情熱」だったそうなので、そういうことでしょうか?!
0497774ワット発電中さん
垢版 |
2018/08/14(火) 21:27:31.80ID:UvlO5M/K
結局、金をだすFPGA転職先ないのかw
日本技術者みんなバカになったもんねw
0498774ワット発電中さん
垢版 |
2018/08/15(水) 02:14:42.67ID:JGbmVUmI
>>497
製品開発ならいくらでも、FPGAの仕事あるだろうけど、
日本国内では、FPGA使う仕事も、ASIC作る仕事ももうないかもな。よほどのことがないとCPUでごまかせるし。
三菱電機とかB2Bの比率高めて増収とかいってたけど、
結局体のいいハケンだろあれ
案の定労働力以外に売れる技術がなくて赤字転落
0499774ワット発電中さん
垢版 |
2018/08/15(水) 02:25:24.51ID:JGbmVUmI
実際、尼とか見てても、面白そうな民生品ってほぼ日本製なくなったな
測定器なんか20年前に合弁解消後、すっかり日本製が姿消した、残ってても何の競争力のないものばっか
昔ながらの家電とかまだ日本メーカのあるけど、製造は100%日本じゃないし、設計だってどこの国でやってるかわからん
そーいや
パイオニアは盆を待たず息絶えたっけ。BDドライブどーしよ
0500774ワット発電中さん
垢版 |
2018/08/15(水) 19:30:16.12ID:vi9PR7k+
FPGA使うようなのは基本1品物だし
量産といっても数個〜数十個
特殊な応用が主だなぁ
市販品でPC関連だと多chシリアルカードとかで見かけた
家電量販店で売っているようなものの中にはまず見つからない
FPGA機器の開発している知り合いの会社でも研究所とかからの引き合いが主だと言ってた
0501774ワット発電中さん
垢版 |
2018/08/15(水) 19:45:24.15ID:DHTs+8vY
(実験用w)地デジチューナーカードにはFPGAのってたね
千個くらいは売れた?
0502774ワット発電中さん
垢版 |
2018/08/15(水) 19:50:39.97ID:RQBLKx2V
携帯電話の基地局とかルータ機器にしこたま使われてるよ。

そう言えば昔メルコかアイオーデータのTVチューナーカードに使われてたな。MPEGのエンコード用らしかった。
0508774ワット発電中さん
垢版 |
2018/08/16(木) 19:29:14.69ID:ItnfCmbO
結局、金をだすFPGA転職先ないのかw
日本技術者みんなバカになったもんねw
年収600万円以上キボンヌ
0509774ワット発電中さん
垢版 |
2018/08/16(木) 19:36:45.47ID:KPf2/h+F
>>508
(最低限電話会議ほどの)英語が出来て
謙遜も弱音を吐かず
インドとかに飛ばされても大丈夫な人材なら

ビズリーチとかであるかも。
国内では「海外に行かれては?」って言われる人材なら多分お金稼げるよ。
アメリカなんかよりもインドとかの方が良いらしい。
実績残せばヘッドハンティングされて給料はどんどん上がるから
後は基本的に危ない所に行かない事を気を付けてればいい。
0511774ワット発電中さん
垢版 |
2018/08/16(木) 21:04:33.57ID:DMMBhkae
実績残せばと言うが、ずっと会社に幽閉されてるから
外から見て分かる実績がない。
0512774ワット発電中さん
垢版 |
2018/08/16(木) 21:32:33.89ID:7RcYNffc
FPGAに限らずコーダーとかセル画職人とかにはお金は出さないだろね。

ハードウェア記述言語で実際にコーディングする人より、
FPGAで何ができるのか、ハードウェア記述言語でどんなことができるのか、
世の中の流れは何なのか、求められていることが何なのかが分かる人の方が
希少価値があるわけだし。

会社に幽閉されていることに身を委ねているのは本人の選択でもあるのだけど
だからといって今の日本のシステムだと、一定以上になったら転職は難しくなるし
簡単に退職できないのも事実だよな。
0513774ワット発電中さん
垢版 |
2018/08/16(木) 21:44:01.29ID:/83fpR1Z
「××歳以上は採らない」って安価な技能者の囲い込みじゃねと思うのは気のせいか
実際にそいつらがいなくなられたら回らなくなる会社は少なくない
0515774ワット発電中さん
垢版 |
2018/08/16(木) 23:06:18.23ID:/b5J7lQt
>>512
>ハードウェア記述言語でどんなことができるのか
うーん、考えたこともなったな。
0516774ワット発電中さん
垢版 |
2018/08/16(木) 23:15:28.72ID:89zyfdjF
HDLなんて言葉なんだよ。

「英語話せます!」と一緒。
0518774ワット発電中さん
垢版 |
2018/08/17(金) 03:59:04.44ID:bhg5m/UI
高収入で経験から

MATLAB FPGA

で検索すると、厚木のニーソぐらいで年齢足切りされる

元々信号処理屋さんだったんだけど、そういう仕事が皆無で、簡単な仕事でどや顔をする人ばかりで、
みんな金出さなくて、つらい
0521774ワット発電中さん
垢版 |
2018/08/17(金) 10:59:26.65ID:33pIlwEP
昔vhdl でポート作ってRomiceからメモリ空間にアクセスして入出力が動いた時は感動したけど、
仕事無いんね。

うちとこはC#の仕事が多いっても副業だけどね。
0524774ワット発電中さん
垢版 |
2018/08/17(金) 12:27:03.55ID:78dZQL75
.mdlファイルと.sixファイルの違いが分かんない
0526774ワット発電中さん
垢版 |
2018/08/17(金) 17:27:47.34ID:78dZQL75
.slxファイルが新しい方で、.mdlファイルは古い形式らしいのでですが。
0528774ワット発電中さん
垢版 |
2018/08/18(土) 11:39:07.86ID:HGJYXZwt
うちの会社が大手求人で応募開始したが、全然来ないw

なんで求人の給与があがらないんだろうw
0530774ワット発電中さん
垢版 |
2018/08/18(土) 13:03:01.66ID:JfnPB065
ソニーへの架空派遣で東京地検特捜部に逮捕された
REVSONIC

LSIテクノ
UKCホールディングスに買収され、
UKCシステムエンジニアリング
>前社長は退任し経営陣も一新しました。
>元ソニーのイメージセンサー開発責任者だった方が役員を務める技術重視の会社に生まれ変わりました。
>いかがでしょう。

という、ソニー ズブズブな会社なので気をつけましょう。
給与も派遣レベルw
0531774ワット発電中さん
垢版 |
2018/08/18(土) 15:34:35.23ID:g0qeiyaN
10数年前はmatlabとhdl使えるのは周りで俺だけだったけど今は普通なのか
あの頃は仕事が楽しかった
0532774ワット発電中さん
垢版 |
2018/08/18(土) 20:32:09.76ID:oUofgDBB
>>531
10数年前の技術で止まってると逆に重宝がられるかもしれないよ。
俺2か月前ほどに見たよ、使用言語欄にAHDLって書いてあるの。
0534774ワット発電中さん
垢版 |
2018/08/18(土) 21:23:32.51ID:k9aBzW83
今FPGAの勉強中で、入門書にかかれている様な内容は身についたのですが、
そこから仕事で使えるスキルにまで持っていけなくて困ってます。

ソースを人に見せるとわかりにくい、独特だと言われます。

そこで相談なのですが、皆さん「デザインの仕方」「仕様からロジックに落とし込み方」
ってどうやって身に着けましたか?

エンジニアとしての考え方が出来ていないのかなとか思ってます。

よろしくお願いします。
0535774ワット発電中さん
垢版 |
2018/08/18(土) 22:31:55.73ID:A2IfZ+kq
>>534
ソフトと同じで、最初は他人のソースを読んでマネする
書くスタイルをパクる
HDLはソフトなんかよりよほど定型的だと思う
ただし、お手本となるいいものを選ぶセンスは要る
opencoresとかにあるのは玉石混交な気がする
0537774ワット発電中さん
垢版 |
2018/08/18(土) 22:43:09.73ID:vaHx32xE
>>535
仕事でFPGA/HDLなんだから、他人のソース=会社の奴が書いたHDLがいっぱいあるだろう。
ただ、会社の奴が書いたのをたくさん見て、俺様コードでわかりにくい、
独特だと言われているなら向いていないんだと思う
0539774ワット発電中さん
垢版 |
2018/08/19(日) 07:20:14.23ID:epRbJSs7
>>534です

みなさんご意見ありがとうございます。

社内のソースを見て勉強したいと思います。

仕様書からソースに落とし込む方法についてはいかがでしょう。
エンジニアとしての考え方、論理的思考 を身に着けたいのですが、
みなさんどうやりましたか?
参考図書があるとありがたいです。

意味不な方はスルーでお願いしまう。
0540774ワット発電中さん
垢版 |
2018/08/19(日) 10:07:04.62ID:UTCMFwDh
俺様コードが悪いとは言わないが、
たぶんそれ以前だと思われる。
0541774ワット発電中さん
垢版 |
2018/08/19(日) 10:18:49.87ID:1MIy1YIx
Maxplus2か。
懐かしい。
0542774ワット発電中さん
垢版 |
2018/08/19(日) 11:10:23.51ID:MNhy1XkE
Cの話で悪いけどとある現場で
forループで簡単な数式込みで数行でできるのを
ifと式で500行くらい書いてる人いたよ・・・

可読性以前の問題か・・・と諦めた
0543774ワット発電中さん
垢版 |
2018/08/19(日) 11:42:34.24ID:epRbJSs7
>>534です

ご意見ありがとうございます。

この仕事が向いていないことが分かりました。
ありがとうございました。
0545774ワット発電中さん
垢版 |
2018/08/19(日) 11:53:50.20ID:epRbJSs7
>>544
どうなんでしょう。
検査したことないのでわからないですが、可能性はあるのかなと自分では思っています。
0546774ワット発電中さん
垢版 |
2018/08/19(日) 11:58:55.00ID:zXdCza/c
ネットでは意にそぐわない奴はみんなアスペルガーだから気にする必要はない
0547774ワット発電中さん
垢版 |
2018/08/19(日) 12:00:44.68ID:7vedXTWP
>>545
とりあえず、仕事に支障にならぬ範囲でソース出して見せてもらわないと
醤油にすべきか、お肉にするかわからないぞ
0548774ワット発電中さん
垢版 |
2018/08/19(日) 12:37:14.54ID:epRbJSs7
>>547

レジスタモジュールを作った際、冗長なソースだと指摘されたものです。

proces(clk,reset)begin
if(reset='1') then
reg1<=(others=>'0');
reg2<=(others=>'0');
:
:
reg100<=(others=>'0');
elsif(clk'event and clk='1')then
if(resd='1' and SEL='1') then
case addr is
when x"0000" => q <= reg1;
when x"0001" => q <= reg2;
:
:
when x"0064" => q <= reg100;
end case;
end if;
end if;
end process;

ご指摘おねがいします。
0549774ワット発電中さん
垢版 |
2018/08/19(日) 12:48:30.04ID:7vedXTWP
>>548
reg [0:99] r_reg;
で書き直すこと

あと、君の上司は言葉足らずで若干無能だねw
0551774ワット発電中さん
垢版 |
2018/08/19(日) 12:50:39.91ID:7vedXTWP
結局、金をだすFPGA転職先ないのかw
日本技術者みんなバカになったもんねw
技術者の違いがわかる会社で
年収600万円以上キボンヌ
0552774ワット発電中さん
垢版 |
2018/08/19(日) 13:08:04.00ID:7vedXTWP
それが終わったら、冒頭にgeneric 文で任意の数値で増やせるようにしておいて
0553774ワット発電中さん
垢版 |
2018/08/19(日) 13:15:06.92ID:mC+iAVu3
多分、配列というか多次元配列だな
Verilogなら reg [31:0] reg [0:99]; とか書くやつ
VHDLでもできるでしょ?
0555774ワット発電中さん
垢版 |
2018/08/19(日) 13:18:56.86ID:7vedXTWP
あービット幅忘れてた。スマン

東芝情報システム が3カ月以上求人募集しているから、若い奴応募して採用されるかやってみてw
0557774ワット発電中さん
垢版 |
2018/08/19(日) 17:27:01.83ID:epRbJSs7
7vedXTWPさん
mC+iAVu3さん

ご指摘ありがとうございます。

そういう配列を使おうって思いつく考え方ってのはどうやって身につくのでしょうか?
今後レジスタモジュールを作る時は配列を使用するようになると思います。

ただ、別のモジュールを作るとき、同じようにこうした方が良いと指摘される
ようなコードを書きそうで怖いんです。

引き出しが少ないというか、エンジニアの考え方が出来ていないというか。
0558774ワット発電中さん
垢版 |
2018/08/19(日) 18:22:34.39ID:TR24oi7e
指摘してもらえばいいんじゃないかなぁ

自分のコードが本当に最適かどうかなんていう不安は、多かれ少なかれ誰にでもあると思う
自分のコードを会社の誰かに添削してもらったり、逆に他人のコードをレビューしながら勉強したりして、少しずつ経験を積んで自信を付けていくしかないのでは…

多少のノウハウが書かれた図書もなくはないけど

『VHDLによる論理合成の基礎―合成に向いたコーディングを考える』
https://www.amazon.co.jp/dp/4924998567
0559774ワット発電中さん
垢版 |
2018/08/19(日) 18:38:56.27ID:Fx0plHhz
このソースに対して配列使え、という根拠は
同じような名前のレジスタが序数を伴って並んでいるところからだよ
可読性を持たせたいなら別々の名前を使うべきケースもある
いちいち記述が大変な規模になるならtype-recordを使うこともある
人のコードを読んで、こうやってスマートに記述するのか、とか、これいいな、
と思う記述スタイルを盗むのだ
でも時々凝りすぎてて訳が分からんくなってるコードもあるので理解できる
範囲でほどほどに
0560774ワット発電中さん
垢版 |
2018/08/19(日) 19:34:53.06ID:UTCMFwDh
>>558
10年以上前の合成ツール向けの記述なんて
制限多くて書きにくいばかりだぞ。
STARC バリだからな。
あの会社なくなって本当に良かった。
0564774ワット発電中さん
垢版 |
2018/08/19(日) 21:06:00.10ID:epRbJSs7
TR24oi7eさん
Fx0plHhzさん

ご教示ありがとうございます。

少し悲観的になっていましたが、気が楽になりました。
社内のソースを読んで勉強したいとおもいます。

みなさんありがとうございました。
0565774ワット発電中さん
垢版 |
2018/08/19(日) 21:44:32.45ID:Aevvqa81
>>564
社内で育成のための勉強会とかをやっていないのか?
俺の会社ですら社内のそこそこのベテランを講師にしたプログラミングやハードの
技術の勉強会があって、若い連中は上司命令で関連ある勉強会に強制参加になっている。
で、その勉強会の出ると宿題が出て次の勉強会で俺回答を発表
0569774ワット発電中さん
垢版 |
2018/08/19(日) 23:36:51.45ID:v5BUnDoA
565の内容だけからは「暇そう」とかは言えないでしょ
別業界だけど、うわさに聞く和○の実情とかから考えると
0572774ワット発電中さん
垢版 |
2018/08/21(火) 02:29:45.77ID:2TdSSCPr
アメリカ証券市場で高速取引に使うアルゴリズムを渡されて
それをFPGAで実装する仕事があったらしいけど今どうなってるんだろう?
0573774ワット発電中さん
垢版 |
2018/08/21(火) 06:21:23.64ID:pKcXM1q5
>>572
高速取引関係はボチボチ求人出てるよ。
「高いプレッシャーの元で働ける人」みたいなこと書いてるけど。
0574774ワット発電中さん
垢版 |
2018/08/21(火) 10:17:13.19ID:HGtVX0oC
どういうアルゴリズムなんだろうね
ネットワークレイヤはソフトだろうけど・・・
まさかそこからロジックで???
gkbr
0576774ワット発電中さん
垢版 |
2018/08/21(火) 11:45:09.15ID:n4ALYH7C
TCPくらいまでならIPありそうだけど、
レイテンシをns単位で削れとか言われるんだろうなあ
0577774ワット発電中さん
垢版 |
2018/08/21(火) 17:12:53.05ID:Min/Lzw6
雇用統計とかのメチャメチャ走ってる最中でも
スリップせずに約定する事
まで求められるんじゃねぇの…
0580774ワット発電中さん
垢版 |
2018/08/21(火) 22:30:46.22ID:iLQRGQYy
お前らって何でもできるのに何で起業してぼろもうけしないわけ?
お前らなら年間成長率1億%でも1兆%でも実現して数年で
ザッカーバーグが土下座してお前らの足をなめるくらいの偉人になれるだろ
0582774ワット発電中さん
垢版 |
2018/08/22(水) 18:45:30.55ID:/N/tkdiE
>>500
そうそう、最近は民生量産品にも使うけど、基本はASIC起こす前の実験、試作が多いよな
そういう仕事が少なくなってきたってことだろ
5Gのドコモのトラックとかどーなってるのかな?

>>502
基地局、ルータの日本製品のシェア知ってる?www
0583774ワット発電中さん
垢版 |
2018/08/22(水) 18:47:11.68ID:/N/tkdiE
>>509
行くならせいぜいアメリカ
コンビニもなくて、うんこ臭漂うインドなんか行きたくない日本人多数
日本サイコー
0585774ワット発電中さん
垢版 |
2018/08/22(水) 18:51:26.25ID:/N/tkdiE
>>521
>うちとこはC#の仕事が多いっても副業だけどね。
Windowsでしかもハードから遠い上位レイヤのソフト屋さん?
0586774ワット発電中さん
垢版 |
2018/08/22(水) 18:58:20.86ID:/N/tkdiE
>>542
それ、ループのアンロールをわざわざ手で書いて、
処理速度稼いでるんじゃないのか?
C++だったらテンプレートで可読性よく書けるけどさ
テンプレートも可読性の悪いいろんなテクニックも山ほどあるぞ
実験的に編み出されてきてそれ用のカンファレンスもあるし
0587774ワット発電中さん
垢版 |
2018/08/22(水) 19:06:39.83ID:ouFN0qkt
>>586
いやそれも考えたんだけど本人に直接聞いたら
forループでの省略が思いつかないって言ってたんだ・・・
0588774ワット発電中さん
垢版 |
2018/08/22(水) 21:31:30.34ID:+3P2h/ce
暫く前にmicroblazeとか頑張って使ったけど
ツールの使い方とかもう忘れちまったなぁ
最近はマイコン+FPGAという風にチップ分けてる
マイコン経由でFPGAのファームを書き換えたりするため
0589774ワット発電中さん
垢版 |
2018/08/22(水) 22:28:17.14ID:pjxTo2DM
>>586
>ループのアンロールをわざわざ手で書いて、 処理速度稼いでるんじゃないのか?
これ、マイコンではたまに見かけるからな
0591774ワット発電中さん
垢版 |
2018/08/22(水) 23:46:59.87ID:YNtIpNXn
>>585
雇われで今は小規模ネットワーク管理だよ。
と言っても年間150日ぐらい自宅待機なんで
副業で昔の同僚依頼でガーバー出力したり
ソフト書いたりしてる。

仕事が重なったら最悪だけどね、、、
0592774ワット発電中さん
垢版 |
2018/08/23(木) 00:19:23.05ID:Zu4HvCYf
>>590
「VBAなら使えます」とかいってスパゲッティーコードを量産するたぐいの亜種じゃね
意外といるぞ。しかもそういうのが技術職の肩書きもって割り増しで賃金もらっていたりするし
わかる人から見ればアルゴリズムからして非効率で努力の方向が間違っているみたいな
0593774ワット発電中さん
垢版 |
2018/08/23(木) 08:47:25.22ID:mzTcBbK3
綺麗なプログラムを作ろうという意識がないとすぐにそうなるよね……
0594774ワット発電中さん
垢版 |
2018/08/23(木) 10:06:33.11ID:jglF4rFN
HDLじゃないけど
Fソフトとか何とかいうところからhelpで来た奴は
Cでビット演算できないやつだった
if文で延々書いていやがって、数10行のソースだったんで
俺が書きなおしたら一行になってしまったことがある
こんな奴が一般ソフト業界には居るんだなと
恐ろしくなった記憶がある
それとも、ワザとだったのかな?w
0595774ワット発電中さん
垢版 |
2018/08/23(木) 18:23:02.21ID:zvRdh76H
わざとだろ
どうせコード行数で成果物を評価するんだろ?
0596774ワット発電中さん
垢版 |
2018/08/23(木) 20:40:53.33ID:Zu4HvCYf
PLDじゃないけど安価なフレームワーク付きマイコンボードの登場でマイコンを使う人が増えたが
ベアメタルでLチカすら作れないやつばかりになった。フレームワークが用意されていないとなにもできない人たちがいっぱい
0598774ワット発電中さん
垢版 |
2018/08/23(木) 21:39:29.74ID:UP2ZogPu
>>597
ルネッサンスとか、半導体会社リストラされて、現実逃避だろ
相手にしない方がいいけど、永遠関係ないこと書かれるのは腹立つな
0602774ワット発電中さん
垢版 |
2018/08/25(土) 19:34:11.53ID:rL+v1gYB
5chに来るような奴を中途で採用する会社は底辺会社だろ
普通の会社は俺らのような奴は正社員に中途採用はしないだろ
0605774ワット発電中さん
垢版 |
2018/08/25(土) 21:47:11.38ID:EqnrPRlc
そういえば、信号処理の仕事してたころ、底辺会社だった。
0607774ワット発電中さん
垢版 |
2018/08/25(土) 22:06:03.56ID:EqnrPRlc
自分が来ているから、まともじゃ無くて就職先が見つからない仲間がほしいけど見つからない。かわいそうだね。
0609774ワット発電中さん
垢版 |
2018/08/26(日) 10:20:30.56ID:hJy0VUjA
>>607
ここで情報収集できるからいいじゃないか。
というか日本からまともなエレクトロニクス産業が消えようとしてるんだよ
最終的にLSIチップまで仕上げる半導体ビジネスが各大手電機から消えて、ルネ一択じゃ仕事にもあぶれるだろ。
0612774ワット発電中さん
垢版 |
2018/08/26(日) 19:18:27.13ID:U61PJIuN
ルネが利口だとは思わないがあそこが消えたら残る日の丸半導体はSONYのみか
DRAMやNAND Flashはすでに消えているし
0613774ワット発電中さん
垢版 |
2018/08/26(日) 19:25:27.67ID:zqx9uxqR
>>612
ソニーも必要なくね
あそこ画像センサだけだし

これからはFPGAの時代ですよ!
0615774ワット発電中さん
垢版 |
2018/08/26(日) 19:33:02.90ID:zqx9uxqR
日本の半導体産業は、オワコンだから必要ないじゃん

なにいってるんだ
0618774ワット発電中さん
垢版 |
2018/08/27(月) 18:08:24.65ID:0VGJ1RIS
稼げない=不要だったら日本そのものが不要だな。実際稼げていないしw
0620774ワット発電中さん
垢版 |
2018/08/27(月) 23:21:16.74ID:Or1XR6Yx
FPGAはLSIの1つでしょ
何言ってるんだ…

中国に負けるも何もアメ公に負けた後に
切り替えて巻き返せなかった時点でオワコンだけどね
0622774ワット発電中さん
垢版 |
2018/08/28(火) 09:47:56.65ID:8GCmFbPv
特注だのカスタムもあるけど量産最終形のASICにまでこぎつける産業がなければFPGA使う業者もしれてるしな
最近日本の企業で新機能のLSI発売とかほとんどみないけど
俺が知らないだけか?
0624774ワット発電中さん
垢版 |
2018/08/28(火) 21:05:11.85ID:cSusA3gm
ΔΣのデコードは、デシメーションフィルターと同じだよー
0625774ワット発電中さん
垢版 |
2018/08/29(水) 10:29:34.12ID:grB9/XTT
なんだ突然
オーディオ用の簡易DACをFPGA+CRのLPFでやったことがある
R-2R(みたいな)NTSC出力もできるな
リニアリティ(精度)はアヤシイが

ファミマ入店音とか探すとあるから試してみるとオモシロイよ
0627774ワット発電中さん
垢版 |
2018/08/30(木) 21:45:46.29ID:XUkuyb4C
無償で使えるソフトCPUとそれ用のコンパイラはもうある
無償で使えるソフトGPUとそれ用のデバイスドライバがあればFPGAでパソコン用SoCを構築できる
と思ったけどさすがにそれはないか・・・
0630774ワット発電中さん
垢版 |
2018/08/31(金) 00:35:14.14ID:r5E1SqZr
TFLOPS出るFPGAは有るんだから
上手く組めばメモリのボトルネック解消してGPUより早くなる。
0631774ワット発電中さん
垢版 |
2018/08/31(金) 01:49:03.19ID:H6qnwQHu
GPUだってシェアードメモリとか大量のレジスタとかあって、
うまく組めるならメモリのボトルネックは解消されるよ。
うまく組めないケースではFPGAでも解消されない。
0632774ワット発電中さん
垢版 |
2018/08/31(金) 02:46:16.78ID:22mAFAPt
fpgaをopenclで動かすって奴ならあるのだから、そっち使えと
0633774ワット発電中さん
垢版 |
2018/08/31(金) 10:49:15.35ID:Ap4oSnfy
それってどんだけ高価なFPGA使う?
普通にGPU買った方が安くないか
0635774ワット発電中さん
垢版 |
2018/08/31(金) 13:21:40.23ID:Ap4oSnfy
乗算器とかハードマクロを使うだけなんだから
セルベースやFPGAでGPUやってもあまり意味は無い
0636774ワット発電中さん
垢版 |
2018/08/31(金) 18:35:11.85ID:28Tq2K2V
Quest Grobal Japan が年俸600で募集しているが

元々ソニーLSIへの派遣で
厚木400 福岡500とか言ってた

注意なw
0637774ワット発電中さん
垢版 |
2018/09/05(水) 22:43:06.94ID:m2sM1D62
Verilogでinitial文って一般には合成可能じゃないので初期値設定には使えない
ようなのですが、FPGAに合成するとFFの初期値に設定されていて、
リセットの手間なく使えるので楽ちんなんですが、
こういう使い方って邪道なんでしょうか?あるいはなんかリスクありますか?
0639774ワット発電中さん
垢版 |
2018/09/05(水) 23:36:27.98ID:PDeNnswn
>>637
リセットできないから。パワーON時との動作が違う物ができる可能性により、設計品質が低下
0641774ワット発電中さん
垢版 |
2018/09/06(木) 09:14:42.30ID:kDs8lcn1
FPGAしか使わない人は、電源投入時のリセット処理はもう書かない感じ?
0643774ワット発電中さん
垢版 |
2018/09/06(木) 10:26:23.98ID:LZuu7ycM
リセット回路はFPGAは内部的に持っているが
それをプリミティブで呼び出して明示なリセット処理は
最近書かないなぁ
自分はCPUの周辺として使うことが多いけど、
CPUから自前でレジスタは初期化しているよ
移植性がどうのというより、あまりデバイス固有のことを
意識したくない
0647774ワット発電中さん
垢版 |
2018/09/08(土) 07:19:08.84ID:xM5V7OzW
FPGAってXilinxとintel、Latticeくらいしか知らないんだけど
Anlogicって大丈夫なのかな

いくら面白くてもツール類がそろってないんじゃ凡人には無理だよ
0651774ワット発電中さん
垢版 |
2018/09/08(土) 11:24:00.81ID:tio5V9KU
>>649
SDRAM が載ってる FPGA って他社にあるんだっけ?
あと中国メーカだから価格が安いんじゃないか? 開発ボードが $13 みたいだし。
0653774ワット発電中さん
垢版 |
2018/09/08(土) 12:40:27.90ID:Gj4wMjK1
>>651
一般にDRAM とロジックはプロセスが違うから混在させない。
64Mくらいなら無理やり載せられるのかな?
最上位のFPGAならSRAMでそんくらい載ってるけど。
0654774ワット発電中さん
垢版 |
2018/09/08(土) 14:28:25.50ID:tio5V9KU
ツールは baidu のリンク先にあるな。Tang Dynasty (TD) というらしい。
サイズはやたら小さい。Windows 版で 158MB 。

 http://tang.lichee.pro/

あとここか。データーシートはあったな。
55nm プロセス
SDR SDRAM 64MB (200MHz) ダイスタック
SRAM (250MHz) 32Kb x 16 + 9Kb x 64 、乗算器 29 個(250MHz) 。
ADC (1Msps)

中国語多くてよみづらい。
0655774ワット発電中さん
垢版 |
2018/09/08(土) 18:24:10.70ID:Qh0Xkg8g
ebayでXILINX CoolRunnerのボードが300円くらいで沢山出てるのだが
XBOXのMODに使うのか?
安いからちょっとした実験にはいいかも
0657774ワット発電中さん
垢版 |
2018/09/11(火) 20:22:03.81ID:UAXR/hL3
opencoresに置いてあるファイルをFPGAに書き込むとホントに動くん?
I/Oとか
0660774ワット発電中さん
垢版 |
2018/09/12(水) 21:11:55.90ID:mnouMrWt
そういやpolarfireがようやく量産開始だってね
RISC-V全押しのMicrosemiだけどソフトコアCPUでZynqやC5Socにどこまで対抗できるかちょっと楽しみ
0661774ワット発電中さん
垢版 |
2018/09/12(水) 23:28:25.68ID:OdLOdvce
IntelがCPUとチップセット両方14nmで作る羽目になって
案の定キャパ足りなくなって一部はTSMCに外注するらしい

そのどこがFPGAネタかって?
一方で稼働率が下がるはずの20nmなんかを使って価格攻勢してくれないかなーとw
0662774ワット発電中さん
垢版 |
2018/09/13(木) 00:31:16.18ID:lYy6VWtl
他社Fabは設計変えないと行けないってよく言うけどそんなホイホイ作れるのね
0663774ワット発電中さん
垢版 |
2018/09/13(木) 00:40:53.20ID:n393McXI
それこそ、FPGAと同じ。
大してチューニングしなければどこでも作れる。
0665774ワット発電中さん
垢版 |
2018/09/13(木) 10:31:35.45ID:TlOgvwqI
FPGAのプログラム書くのと、
他社ファブのスタンダードセルだけ使うのは
大して変わらんってことでしょ
TSMCに投げるのだって、そう複雑じゃないチップセットだけじゃなかったっけ
0666774ワット発電中さん
垢版 |
2018/09/13(木) 12:55:53.03ID:t+JiYdxc
FPGAはメーカー固有の機能を使わなければ他社への移行は容易。

普通のLSIも標準的なセルだけ使ってれば他社への移行作業の大部分は自動化されてる。
0667774ワット発電中さん
垢版 |
2018/09/13(木) 13:08:24.32ID:cEWRdNeq
ユーザーとしては安くて速くて安心ならどこの工場のでも構わん
0669774ワット発電中さん
垢版 |
2018/09/14(金) 08:34:05.57ID:BCgfwqxd
トラ技もFPGA推しなのはいいけど小手先のhow toしか書かないのは不親切な気がする
0670774ワット発電中さん
垢版 |
2018/09/14(金) 08:47:00.62ID:JC78SM0I
「○○の使い方」と謳って、結局ツールのGUIの説明しかないのがあるある。
0671774ワット発電中さん
垢版 |
2018/09/14(金) 10:30:26.27ID:ol8TRHXN
deepなこと書けるならこんな雑誌に書かんで
論文書いてる罠
0672774ワット発電中さん
垢版 |
2018/09/14(金) 10:57:28.66ID:ebiHbpXz
このスレでSTARC、STARあほの一つ覚えで言ってくるやついたけど
会社あぽーんしてんじゃん
何これ
0674774ワット発電中さん
垢版 |
2018/09/14(金) 13:40:05.64ID:ebiHbpXz
>>673
雑誌投稿でトラ技をカウントする公的研究機関があること知っとけ
論文ネタになるほど新規性はないが、雑誌ネタになるような事例ってのがある。
トラ技で、投稿者の所属が明確に記述されてないのは大抵それ
0678774ワット発電中さん
垢版 |
2018/09/15(土) 00:04:15.64ID:jIrAAJ8X
定期刊行物ならmagazineらしいんでなんでもいいっちゃいいけど
論文メインなのは論文誌で軽い読み物が(いわゆる)雑誌かな?
0682774ワット発電中さん
垢版 |
2018/09/15(土) 22:43:22.79ID:lxjMY581
学会誌はカウントされるんかな?あれは概論というか紹介記事ばっか
論文としてカウントされるのは論文誌のほうよね
0684774ワット発電中さん
垢版 |
2018/09/19(水) 20:38:50.34ID:ExAggYPi
古いCPLD、XC9572やXC95108(ともに非XL)が非公式でも書き込める安めのUSB書込ツールってありますか?
昔使った際にはザイリンクスの資料見てパラレルポート接続の書込み器作り書いたんですが、久しぶりに書き換えようとしてPCに端子が無いので焦ってます
0686774ワット発電中さん
垢版 |
2018/09/20(木) 22:35:27.83ID:w/xogInd
>>685
御教示ありがとうございます。
シリアル-USBモジュール使えば簡便に作れるわけですね。
0688774ワット発電中さん
垢版 |
2018/09/21(金) 00:17:27.98ID:WN2uKJwz
マイコン2個とfpga1個をまとめて欲しい
0691774ワット発電中さん
垢版 |
2018/09/21(金) 20:05:40.63ID:MyQw4Mae
CPLD内でディレイを意図的に作り出せますか
論理合成時にディレイ0として取り扱われると聞いたんですが
0692774ワット発電中さん
垢版 |
2018/09/21(金) 21:23:51.05ID:IOpOoM6g
基本的にプリミティブにDELAYエレメント無ければ無理だけど
合成最適化で無くならないように指定すれば
ゲート類重ねてある程度はできるかも
0693774ワット発電中さん
垢版 |
2018/09/22(土) 01:00:43.89ID:hTS+uF/I
74系ロジックでSN74LS31 DELAYエレメントってあったけど
あれの中身ってゲートを多段につないだだけなのか
0694774ワット発電中さん
垢版 |
2018/09/22(土) 01:11:47.94ID:Ulhj9kCG
FPGAのユーザガイドとかアプリケーションノートとかに ゲートを多段につなぐ方法とか載ってるね。
あと I/O パッドには、遅延を設定する機能があったりするから、一回外部に出せばディレイが自由にできる。
0695774ワット発電中さん
垢版 |
2018/09/23(日) 06:17:37.91ID:isICsLAO
民生部品を活用した低コスト宇宙用監視カメラの開発
ttp://www.jasma.info/journal/wp-content/uploads/sites/2/2013/10/2013_p160.pdf
論文だけど日本語だし電子工作をする人なら難なく理解できるはず
FPGAを始め既視感のある部品だらけで草
0698774ワット発電中さん
垢版 |
2018/09/23(日) 13:12:56.30ID:IBkamBiS
>>695
求人のつもり?

今更、こんな古臭い開発環境で働きたい奴なんて皆無だろ。
0700774ワット発電中さん
垢版 |
2018/09/23(日) 14:11:39.09ID:TYPDEWje
>>699
UltraScaleが発表された頃だね。
0703774ワット発電中さん
垢版 |
2018/09/23(日) 20:35:46.07ID:isICsLAO
ソフトCPUの活用例として紹介したつもりだったが予想外すぎる流れw
えぇぇ・・・この程度のシステムすら理解できない人が技術者名乗っているとか驚きだわ・・・

あと自分はこの人とは無関係だからな。組み込みとか興味あるし
その一部として宇宙関係も情報収集しているだけだし

pdfにも書いてあるけどこのシステムは実際に打ち上げられて惑星間空間で仕事を果たした
JAXA|小型ソーラー電力セイル実証機「IKAROS(イカロス)」のセイル展開の成功について
ttp://www.jaxa.jp/press/2010/06/20100611_ikaros_j.html
JAXA|小型ソーラー電力セイル実証機「IKAROS(イカロス)」の分離カメラの撮影成功について
ttp://www.jaxa.jp/press/2010/06/20100616_ikaros_j.html
分離カメラの画像は世界を駆け巡ったはず
0705774ワット発電中さん
垢版 |
2018/09/23(日) 21:00:37.89ID:MWv8XrEO
>>703
> えぇぇ・・・この程度のシステムすら理解できない人が技術者名乗っているとか驚きだわ・・・

なんで、そうなるの?
0707774ワット発電中さん
垢版 |
2018/09/23(日) 22:06:32.23ID:25vMv0RW
使っている機器の
CPUの性能=自分の性能
とか思っちゃってるから
古い記事見ると、そこにしか目がいかないんだよ
0708774ワット発電中さん
垢版 |
2018/09/23(日) 22:31:06.98ID:isICsLAO
>>706
そうなの?このスレはホビーより仕事の話が目立つように見えるけど

>>707
あぁ・・・なるほど
最新じゃない=遅れている=価値なしとか思っちゃっている人か
0709774ワット発電中さん
垢版 |
2018/09/23(日) 22:32:01.01ID:Ep05riRf
なんかリストラされた人が情報収集しているだけど、プライドが高くておかしなこと言っているなぁ。そんな感じに見える。間違っているかな
0710774ワット発電中さん
垢版 |
2018/09/23(日) 22:34:22.68ID:Ep05riRf
その議論は既にアルマジロでZynqで、アルテラがインテルでARMコアで、ソフトCPUなんて当たり前の世界が既にあったの。
0711774ワット発電中さん
垢版 |
2018/09/23(日) 22:37:27.07ID:TYPDEWje
MicroblazeもNiosもZynqもとっくの昔から普及してるのに、
「ソフトCPUの活用例」とか言ってドヤ顔で晒して何がしたいの?
0712774ワット発電中さん
垢版 |
2018/09/23(日) 22:54:19.55ID:KV7Hlqj4
>>703
そもそもお前が紹介してるのはソフトコアCPUじゃなくてハードコアCPUだぞ。
何も理解してないのバレバレ
0713774ワット発電中さん
垢版 |
2018/09/23(日) 23:06:18.27ID:rWBMwppt
Linux 動かしてその上で OpenCV 動かすって書いてあるな。
普通のソフトCPUは関係ない話だな。今だと RISC-V でなんとかって感じか。
0715774ワット発電中さん
垢版 |
2018/09/23(日) 23:31:41.80ID:1qDBcmYr
IKAROS打ち上げは2010年で、M-Vロケットの廃止によるH-IIAへの転換で空いたペイロードを埋めるために計画されたプロジェクト。
急遽決まったので設計期間は確か2〜3年と短かったはずだが、構想そのものは2000年ぐらいからあったもの。
2010年打ち上げはメインの衛星、あかつきの運用から決まっているので、イカロスが間に合わなければ水でも入れることになってたかも。

この状況下で設計製作となると実績重視の選定になるんじゃないかな。
2000年初頭でスタンダードなOSが動かせるCPUを持ったFPGAで、宇宙での実績があるとものとなると、V2Proぐらいしか思いつかない。
NASAの火星探査ミッションでもV2Proは使われていたはず。
現在でもV4とV5しかないので、Vivadoのサポートは無く、ISEでの設計になるのかな。「今更」だけど。
今から設計しても打ち上げは最低でも3年後。実績としてレポートになるのはさらにそのあと。時代遅れと呼ばれるんだろうな。
そういえばZynqの航空宇宙グレードがやっと今年ぐらいに出るって聞いてたけどどうなったんだろ?

今でこそ画像のディジタル伝送なんで当たり前だけど、2000年初頭で考えると、消費電力と重量と実績の点で採用は難しそう。
ちなみにHDMIが2003年で出たての頃、地デジの放送がスタートしたばかりの頃なので、まだアナログ画像を扱うデバイスの方が多かったはず。
多チャンネルで帆の展開具合を確認するためのカメラで、画質より信頼性の方が重視されたでしょう。無理にデジタル化する必要は無さそう。
0717774ワット発電中さん
垢版 |
2018/09/23(日) 23:37:59.32ID:ULUZSeBz
アスペ臭がスゴい。
0720774ワット発電中さん
垢版 |
2018/09/24(月) 22:22:13.03ID:kJZ3BhdH
いつもギスギスしてるけど
最近は特にギスギスしてるねこのスレ
0724774ワット発電中さん
垢版 |
2018/09/25(火) 12:28:19.07ID:unDZP2iB
マーシー&内藤はもっと金を稼いでもいいな。シリコンバレー行っちゃダメなのか?それとも飯の種になる情報をこれだけ公開する人は珍しいってだけ?
0728774ワット発電中さん
垢版 |
2018/09/25(火) 20:34:58.40ID:iV7sdcwD
貴乃花は今後生活して生きるだけの蓄えあるのか?
相撲しかしらない中卒親父がいったいどうやって生きていくんだか?
0729774ワット発電中さん
垢版 |
2018/09/26(水) 05:52:13.20ID:nWawwQwj
>>728
俺ら何十人分の資産はあるだろうし、本を書けば俺ら何千人いても勝てないくらい売れるだろう。
お前が心配しなくてもどうとでもなるよ。
0730774ワット発電中さん
垢版 |
2018/09/26(水) 15:41:02.65ID:/GrshTDZ
名前は売れてる
0732774ワット発電中さん
垢版 |
2018/09/26(水) 18:02:03.77ID:kgYoWsZh
正直、一人の技術者でまわしている会社はすごく不安だ。
veritak、becky!等々
0734774ワット発電中さん
垢版 |
2018/09/26(水) 20:51:42.30ID:4HMV4tGK
絡まれたか疲れた(憑かれた)んじゃね
不渡り出しそうになってヒヤッとしたとか
手形使ってるか知らんが
このあいだは満を持して正社員募集!とかしてたのにな
慣性質量小さい自転車だと簡単に詰むからなぁ
0736774ワット発電中さん
垢版 |
2018/09/27(木) 20:16:56.10ID:7KmW3HwW
JTAGの人、会社をたたむのか。
理由はどうであれ、会社を再開してもあんまり取引をしてはいけない人の会社となるよな。
0739774ワット発電中さん
垢版 |
2018/09/28(金) 03:34:40.98ID:EHyzzrSo
mitoujtagだけで商売やってりゃサチることもなかったのにな、
社長=実働一人社員だとこれだけでも食っていけたんじゃねーの?
会社大きくしたいわ。技術的にもいろいろ興味はあるわでサチっちゃったんだろな
趣味と仕事は分離しないとな
元々就職もせず自分のやりたいことで食っていきたかったんで、
食うための雑多な仕事が嫌になったかな?
0742774ワット発電中さん
垢版 |
2018/09/29(土) 02:40:46.34ID:+SEfC8gm
メタステーブルの対策では、外部データの取り込み口に、フリップフロップ を 1 段追加します。
しかし、この方法だと1クロックの情報伝達遅れが出ると思います。
1 クロック分の遅れが許される場合は、この対策方法で良いと思いますが、
それを許さない場合は、どのように対策したら良いのでしょうか?

あるいは、1カ所のメタステーブル対策でFFを入れたら、
他の回路にもFFを1個入れて帳尻合わせするのでしょうか?
0743774ワット発電中さん(アメリカ合衆国)
垢版 |
2018/09/29(土) 02:52:41.32ID:h1CorB0L
勿体ないな。
ビジネスを誰かに売れば良いのに。
0744774ワット発電中さん
垢版 |
2018/09/29(土) 03:50:41.01ID:anmUjS7n
>>741
ジョブズやゲイツも経営も技術もど素人だったし、随分大きくなってからもある意味ど素人だったと思うよ。
特別の訓練は何も受けていない。
経営の訓練を受けたプロはイノベーションは起こせないよ。MBAや技術士をとって下働きになる。
経営を受け継ぐ場合もある。
しかしプロというのは言いつけ通りに働く職業人ってことだからな。プロになったらお終いよ。
0745774ワット発電中さん
垢版 |
2018/09/29(土) 03:55:00.14ID:anmUjS7n
>>742
そうだよ。128BITバスなら、128個入れる。対策には1個じゃなくて普通は2個だろ。
だから256個入れる。
0747774ワット発電中さん
垢版 |
2018/09/29(土) 07:10:52.78ID:OhN5K5dY
アメリカでは技術バカが経営バカを雇ってデカくするんじゃないの?
インテルだってそうだったよな

マクドナルドみたいに経営バカに乗っ取られて創業者が捨てられることもあるみたいだけど
0748774ワット発電中さん
垢版 |
2018/09/29(土) 07:31:10.22ID:Qg8xDyqC
最後までAppleの利益しか考えず、排他的にエコシステムを構築することに執着したジョブスと、
Microsoftを後継に任せて、自らは慈善活動や、社会的な投資に勤しむゲイツを一緒にしたら失礼だろう。
Microsoftは結果的にオープンな方向に舵きりして、独占的だとMicrosoftを批判していたSun、Oracle、Appleの陣営の方が
今となってはよほど独占的になってる。皮肉なものだな。
0749774ワット発電中さん
垢版 |
2018/09/29(土) 07:58:05.28ID:anmUjS7n
慈善活動か。しらんけどお金の代わりに名誉を稼いでいるんだろうなと穿ってみたくなるが
人は変わる。まともになってきたのかもしれんな。
あのゲイツですら変わるんだからと勇気づけられている人も多いだろ。
0751774ワット発電中さん
垢版 |
2018/09/29(土) 09:38:00.80ID:a+v26YI3
偽善ではと言われていることについて
「ああ、偽善で売名ですよ。偽善のために今まで数十億を自腹で使ってきたんです。
私のことをそういうふうにおっしゃる方々もぜひ自腹で数十億出して名前を売ったらいいですよ」
(杉良太郎)
0752774ワット発電中さん
垢版 |
2018/09/29(土) 10:18:11.91ID:3AsnbxNy
くさるほど金があってもう金儲けはどうでも良くなっただけでは
成功の定義が金から名誉に変わっただけというか
0753774ワット発電中さん
垢版 |
2018/09/29(土) 10:51:14.53ID:m3o71JeQ
募金やお金を必要とする慈善活動、学校、公益団体、公共機関への寄付については、しない人、できない人が遠吠えしても何も響かないな。
それに、どれだけお金があってもそうしない人、もっとお金を自分の手元に集めようとしか考えない人もいるわけだろ?
かつてブラックの権化と思ってたモーター屋のトップがあちこちに寄付してる。エライ。エライとしか言いようがない。

ところでFPGAの営業が昔「FPGAにしておけば製造中止に強い製品が作れますよ」なんて言ってた。
結果的には彼らがやってきたことは自社製品への囲い込みばかりが目立つ。
それでも使わざるを得ないことが多い。悔しいが遠吠えだな。うおーん。
0754774ワット発電中さん
垢版 |
2018/09/29(土) 10:55:05.23ID:W/add/ze
いゃ、そのFPGA自体がディスコンで右往左往というか
5V-I/Oの石なんて無くなってるし
最近は3.3V-I/Oも減りつつ
こないだも非正規市場在庫使ってえらい目に遭った
0755774ワット発電中さん
垢版 |
2018/09/29(土) 11:26:43.47ID:mzj9IkQw
>>754
たぶんそれでも彼らは「移植していけばいいじゃない。既成ICがなくなるよりずっといい」って言う。
0758774ワット発電中さん
垢版 |
2018/09/29(土) 12:57:54.25ID:mzj9IkQw
>>757
基本的には本人の問題では?
製品サポートは続けるそうだからユーザーはさしあたってそれを信じるしかないのだし。
0759774ワット発電中さん
垢版 |
2018/09/29(土) 14:45:50.91ID:487HHrXH
ついこの間まで社員募集とかセミナールーム設置とか
Spartan-7ボード設計中とか書いてたのに
急に閉めるっていうのは、何か問題があってそうせざるを得ない状況になったんだろうか
0760774ワット発電中さん
垢版 |
2018/09/29(土) 14:47:25.52ID:ykLEXhRH
なひたふんとこってエンベッド展に出てたのにね さほどアピールしなかったか
0761774ワット発電中さん
垢版 |
2018/09/29(土) 15:53:03.10ID:W/add/ze
技術的にすごいものだからってポンポン売れるわけじゃないからなぁ
特殊なものほどチャネルは細いわけだし
0764774ワット発電中さん
垢版 |
2018/09/29(土) 21:21:18.67ID:w/s7fdgX
ここがヘンだよ日本のエレクトロニクス産業 - 吉川明日論の半導体放談(4) | マイナビニュース
ttps://news.mynavi.jp/article/semicon-4/ ←中程から
>シリコンバレー企業の多くのエンジニアは開発の仕事の傍ら、MBAの勉強などをする人が多い。
>売れない製品を開発するほど無意味なことはないことを知っているからだ。(〜略
らしい。全く別の界隈でも似たような話は聞くな
0765774ワット発電中さん
垢版 |
2018/09/29(土) 22:25:19.71ID:eS91Y8mD
なひたふ氏はブログやTwitterやってるから有名だが、
彼より知識も経験もあるエンジニアは他に幾らでもいる。

ただしクローズドな世界にいるから表舞台では見えない。
0766774ワット発電中さん
垢版 |
2018/09/29(土) 22:36:10.02ID:A1p82sN/
箱の中にたくさんのエンジニアがいて外には出てこない。
箱を良くするためにだけ活動している。
そのエンジニアは優れているかもしれない。



広く知識・ノウハウを共有してくれた内藤さんの社会貢献度は大きいな。
0767774ワット発電中さん
垢版 |
2018/09/29(土) 23:12:15.09ID:OhN5K5dY
誰もなひたふが世界最高レベルのエンジニアだなんて言ってないんだよね
それを、なひたふより上はいくらでもいるからなひたふは大したことないという
印象操作を行うのは何なんだろうね

お前らの誰か一人でもいいけど、彼より優れているなら名乗り出て示してみろよ
すぐ機密保持がーとか言って、ありもしない秘密を隠して名乗りだせない言い訳にするんだろうけどさ
0768774ワット発電中さん
垢版 |
2018/09/29(土) 23:33:08.89ID:I6Cd4oxx
匿名掲示板で名乗り出ろとか、こいつアスペかよ。
0769774ワット発電中さん
垢版 |
2018/09/29(土) 23:40:42.26ID:eS91Y8mD
FPGAはとにかく広い。

俺が知らない事をなひたふ氏は知ってるし、
逆になひたふ氏が知らない事を俺は知っている。

ブログ読んでると「へー」と思うこともあれば、
「え、それ今まで知らんかったんか?」て事もあるでしょ?
0770774ワット発電中さん
垢版 |
2018/09/29(土) 23:51:29.43ID:A1p82sN/
>俺が知らない事をなひたふ氏は知ってるし、
>逆になひたふ氏が知らない事を俺は知っている。

確かにそういう憶えは俺にもある。
でも大きい違いは、彼はWEBという公の場でそれを公開していて、
俺は、自分の仕事でしかそれを出してないってことなんだ。
その点において、彼が果たしてきている貢献は大きいんだよ。
0771774ワット発電中さん
垢版 |
2018/09/30(日) 01:33:31.27ID:qqaNJlab
>>762をもう一度冷静に読んでもらえん?

「よく知らんけどそんなに技術的にすごいもの作ってたんか」

なひたふ氏自身の技術レベルや社会貢献はすごいんだろうけど、それは脇に置いて、
これまでの製品や作品やソフトの技術的なすごさを教えてもらえないかと…
0772774ワット発電中さん
垢版 |
2018/09/30(日) 02:12:23.24ID:baVGbWEe
すきあらば、マウント取りだなぁ。
0773774ワット発電中さん
垢版 |
2018/09/30(日) 08:52:37.62ID:Nge8yoXW
なひたふって、コンサルあたりで知識そのものをウリにしたいんじゃないの?
別になんの技術もたいした知識もないけど家電用の電源基板作る会社がどれだけ多いか。
東大のプライドがあくまでも下請けはやりたくないんだろな。
mitoujtagはヒット商品になったけど、
設計した商品で長期に稼ぐような会社にしないといつまでたっても暇になるときないんじゃないんかな?
学生アルバイト雇ってたみたいだけど、正社員としては雇用してないっぽいし。
一人で技術開発に資金繰りに走り回ってたらえーかげん疲れるわな。
汎用的に使える基板をウリにするHumandataみないな路線だったら、ずいぶん楽できると思うけどね。
0774774ワット発電中さん
垢版 |
2018/09/30(日) 08:57:47.24ID:Nge8yoXW
共立とか秋月とかでも一番の売れ筋ってのはシリーズレギュレータじゃないかと思ってる。
手軽に誰でも使えるし、電源は絶対要るし。
あとの個々のアプリケーションにしか使わないパーツはそれこそDIgi-key頼みなんよな
なひたふも日本のエンジニア相手の商売するんだったら、もっとターゲットのレベル下げないとしんどい思いするだけな希ガス
0776774ワット発電中さん
垢版 |
2018/09/30(日) 13:26:59.27ID:aBT3tlOi
A Cさんって仕事出来るよね
B は?お前は何にも知らないのな
  俺はCより仕事出来る奴、沢山知っている!キリッ
0777774ワット発電中さん
垢版 |
2018/09/30(日) 13:49:59.89ID:AtA1B+fs
なぜお前らは匿名掲示板ですら他人をほめられないのか
他人をほめても自分がけなされたことにはならないんだぞ
0779774ワット発電中さん
垢版 |
2018/09/30(日) 15:55:49.18ID:A6k82Ci+
あの人、PCIeのとこ自前で設計してるし、(物理層以外のとこ)
ドライバも作れるし、基板のアートワークもできるし、JTAGはめちゃくちゃ詳しいし、守備範囲はめちゃくちゃ広いと思うわ。トータルのレベルもかなり高いかと。
記事はたまに参考にしてたんだけどねぇ。残念。
0783774ワット発電中さん
垢版 |
2018/09/30(日) 23:42:08.78ID:pdzAoa7m
>>779
ここの奴ならそれらは普通に出来るんだろうがな。
俺の会社にはそれらが全て出来る奴はいないから、手分けしてやることになる。
で、俺のところではできない(まだやっていない)Soc Fpga+Linuxもやってたからな。
特電の人、良い大学卒+技術士だけあって優秀だなとは思う
0785774ワット発電中さん
垢版 |
2018/10/01(月) 08:28:55.88ID:JyaD2gPu
FPGAではないがUSB3.0のボードは数十枚購入し輸出用のパラメーターシートまで作ってもらった。
感謝しています。
0786774ワット発電中さん
垢版 |
2018/10/01(月) 09:21:20.24ID:/b9zFhxE
会社経営なんて怖くて出来ないわ、、、
Jtag の会社無くなって残念。
どっか買収の話とか無かったんかな?
0788774ワット発電中さん
垢版 |
2018/10/01(月) 18:01:28.66ID:mXe2ILDj
やはり特殊過ぎて会社回せなくなったのか
最初からビジネスモデルが間違っていた
0789774ワット発電中さん
垢版 |
2018/10/01(月) 19:05:41.98ID:L9wkwY63
「今考えているのは、Cosmo-Zをオープンソースにして、物理学の研究者でFPGAが使える世界中の人に協力してもらうことです。」

マジっすか。
0790774ワット発電中さん
垢版 |
2018/10/01(月) 19:19:37.64ID:3882vJYi
なんか作ったら、それをタダでばらまくと、労力の分損するように思われるけど、
それ以上の儲け口が見えてきたりむこうから転がり込んでくることってよくあるね。
0791774ワット発電中さん
垢版 |
2018/10/01(月) 21:13:52.86ID:sdfj307Y
>なんか作ったら、それをタダでばらまくと、労力の分損するように思われるけど、
>それ以上の儲け口が見えてきたりむこうから転がり込んでくることってよくあるね。

昔はそうだったかもね。
今は単に「ごちそうさま」とパクられて終わり。
0792774ワット発電中さん
垢版 |
2018/10/01(月) 21:25:28.15ID:sdfj307Y
>結局、FPGAで高収入の転職先ないの?

技術面というよりも、お客さんの求めるものをいかに具体的な仕様としてまとめるかという
営業的なセンスが大事になってるんじゃないかな?
クロック数を極限まで減らして性能を出さなくてはいけないようなところは確かにその道の
ベテランの出番だろうけど、そこまでしなくてはならないようなものを要求しているところは
少ないでしょ?
下手すりゃ、高位合成でも充分だ・・・なんてことになってるし。
0793774ワット発電中さん
垢版 |
2018/10/01(月) 21:56:58.76ID:mXe2ILDj
吊るしの商品売れるようでないと苦しいよ
受託開発=自転車操業だろ
大雑把に言って
0794774ワット発電中さん
垢版 |
2018/10/02(火) 00:14:15.74ID:/cjKXR2A
mitouJTAGを最初オープンソースにしてたらパクられまくってプロプラにしたとか言ってたようなそうでないような
なんかしら思うところがあるんやろうや
0796774ワット発電中さん
垢版 |
2018/10/02(火) 06:59:00.64ID:fKnjLqOp
ブログに書いてあるじゃん。
資金集めに奔走する経営者より、
FPGA弄ってたいって。
0802774ワット発電中さん
垢版 |
2018/10/02(火) 15:51:04.30ID:+HGT88J1
FPGAいじってるのが楽しいってのは所詮FPGAユーザなんよな。
そんなにFPGA好きならエンジニアが使いたくなるようなFPGAチップそのものを売り出さなきゃ
0803774ワット発電中さん
垢版 |
2018/10/02(火) 16:36:46.87ID:A4BfBSJE
米xilinxなんか就職先として人気の企業だそうだ
日本法人は知らない
0807774ワット発電中さん
垢版 |
2018/10/02(火) 21:47:51.84ID:fKnjLqOp
日本法人はセミナー講師もあるだろ
0808774ワット発電中さん
垢版 |
2018/10/03(水) 10:28:00.51ID:45vOGzNs
>>802
そうだな。
プログラマはCPU作るべきだし
電子工作するならトランジスタやコンデンサを作らなきゃな。
0809774ワット発電中さん
垢版 |
2018/10/03(水) 12:40:14.76ID:cOnfk+M2
>>808
アスペ
0811774ワット発電中さん
垢版 |
2018/10/03(水) 13:34:16.64ID:mt2/r8RD
>>809
皮肉が通じないアスペ
0812774ワット発電中さん
垢版 |
2018/10/03(水) 13:55:07.45ID:pPOHWDTw
>>811
809の事ね。念の為
0813774ワット発電中さん
垢版 |
2018/10/03(水) 20:20:10.91ID:mIcjZsCc
俳優さんが舞台でとんでもなくアホなことを言っていても、俳優さんがアホなのだとは思わない。
それは俳優さんが言ってるからだとわかっているから。

リアルな生活で人がアホなことを言っていたら、それが冗談なのか本気なのかを区別するのは普段のおつきあい。
そのリアルの生活の習慣を、匿名掲示板に持ち込んで、冗談がわからないやつが悪い、と、普段の生活と同じ反応を期待してしまうのは
周りから自分がどう見られるかを客観的に判断できない障害があるのではない?

>>808のような冗談が通じなかったとき「ああすまん。冗談のつもりだったんだ。いきなりじゃ分からないよな」がより正常な行動だろね。
0815774ワット発電中さん
垢版 |
2018/10/03(水) 20:34:22.29ID:XhbYDzX4
まあFPGAチップを作る人はもっと増えてほしい
市場競争して安く提供していただきたい
0817774ワット発電中さん
垢版 |
2018/10/03(水) 21:14:59.69ID:TRFaUS7b
>>816
そうでもないんじゃないか?最初は 80 年代だろ。
上で出てる anlogic とか基本的な構造みたいだしな。他に iCE40 とかも。特許切れてる技術が沢山ありそうだ。
0820774ワット発電中さん
垢版 |
2018/10/03(水) 22:52:44.99ID:FZbIiCW+
SRAM方式なんじゃないの?
NECに半導体事業やる体力無いやろ
0821774ワット発電中さん
垢版 |
2018/10/03(水) 23:50:03.87ID:LY8ISQvv
まぁ、FPGAの石自体は作れるだろうけど、合成ソフトはどうするのかね
ハードだけ作って後はユーザーお任せかね
0822774ワット発電中さん
垢版 |
2018/10/04(木) 00:43:41.04ID:ka70P4Ev
FPGAをいじり回しているレベルの人ならパソコンの基板くらいちゃちゃっとデザインできちゃうのかな
DDR3/DDR4やPCI Express、USB3.0などなど高速線がいっぱいだけど
0823774ワット発電中さん
垢版 |
2018/10/04(木) 06:55:26.13ID:G8vRLJZi
>>821
そらシノプシスに丸投げでしょ
ガワは今だったらeclipseベースで安く作れそうだし何とかなるのでは
0824774ワット発電中さん
垢版 |
2018/10/04(木) 08:06:16.70ID:RhRwuYKU
>>822
どういう目的でFPGAをいじってるかで得意分野が違うから一人で全部できる天才はほぼいない。
マンガやアニメに出てくるようなオールラウンダーは現実にはいないのだよ
0826774ワット発電中さん
垢版 |
2018/10/04(木) 12:30:15.68ID:5ZRprnWF
>>820
SRAMではなく原子スイッチというものらしい
電圧を印加すると原子が移動するので配線をつないだり切ったりできると

https://jpn.nec.com/techrep/journal/g07/n01/pdf/t070117.pdf


物理的に配線されるので省電力でソフトエラー耐性もあるので宇宙用途で考えてるみたい

https://www.aist.go.jp/aist_j/press_release/pr2017/pr20170307/pr20170307.html
0827774ワット発電中さん
垢版 |
2018/10/04(木) 12:50:12.12ID:r6H6NK3g
Arm社のようにって、自社では作らずにライセンスするビジネスモデルかと思ったらちょっと違うようだな。
0828774ワット発電中さん
垢版 |
2018/10/04(木) 13:07:08.32ID:BVKZK+WZ
>>826
>電圧を印加すると原子が移動するので配線をつないだり切ったりできる
FET と何が違うんだ?とpdfも見ずに言ってみる。
0829774ワット発電中さん
垢版 |
2018/10/04(木) 15:25:54.13ID:9yjx09eh
FETというか、EPROMだな
ホットエレクトロン vs 原子
原子? チャージじゃ無くて 原子が動くのか?
0830774ワット発電中さん
垢版 |
2018/10/04(木) 17:14:11.15ID:YU5yvGp6
銅原子をDS間に移動させてショートさせることでON、DS間から引き離すことでOFF。
まさに銅原子をジャンパ線のように使って電極間をON/OFFするやり方で、
EEPROMのようなフローティング・ゲートとは全くの別物。
0831774ワット発電中さん
垢版 |
2018/10/04(木) 17:39:58.64ID:XPtJEtfy
Armコアのバスにfpga ファームアップ用子マイコン
をワンチップにして欲しい。
0834774ワット発電中さん
垢版 |
2018/10/04(木) 21:27:45.10ID:9yjx09eh
あっためるとプログラムが早く終わるデバイス
なんてなんだかいや
0836774ワット発電中さん
垢版 |
2018/10/05(金) 01:01:09.17ID:gXuxjvTB
何度も書き換えられるよ
FETは電荷でスイッチングしているのに対して
ナノブリッジは銅イオンでスイッチングしているみたい
0837774ワット発電中さん
垢版 |
2018/10/05(金) 03:27:56.98ID:5pLIZskr
1chip MSXを超えるハードウェアエミュレーションの作例無いん?
0839774ワット発電中さん
垢版 |
2018/10/05(金) 06:59:39.94ID:aXreT2/3
何をもって超えたと判断するかも有るが。MSXはロゴも取得した公式な物だからそういう意味では超えるのにはハードルが高いな。
0840774ワット発電中さん
垢版 |
2018/10/05(金) 11:54:48.08ID:OtTlI7Ml
MSXでもいろいろあるな
Turboとか末期はネーミングがアレだった
0841774ワット発電中さん
垢版 |
2018/10/05(金) 12:51:34.85ID:ApJxTXhR
>>837
Z80コア(?)入れてBIOSとかIOアドレスを合わせることに何の意味があるんだ
0844774ワット発電中さん
垢版 |
2018/10/09(火) 00:48:07.77ID:HCWFl5yb
前からあるやろ
店頭には無いが
今ならMAX10評価ボードの方がええんちゃう?
0845774ワット発電中さん
垢版 |
2018/10/09(火) 16:12:57.41ID:qEyf/XGQ
下の値段が上がってきたのか
最近FPGA付録ボードあまり付かなくなった?
0846774ワット発電中さん
垢版 |
2018/10/09(火) 20:34:06.84ID:23sReE9n
FPGAは売れてるから販促用に雑誌に流す必要がないんじゃないかな
0847774ワット発電中さん
垢版 |
2018/10/09(火) 21:06:54.48ID:q1F3GFGW
>>753-754
日本のデバイスは供給期間が長いから〜ってしばしば聞くけど、逆に供給期間が長くないデバイスを使っているところはどうしていんだろ?
今や世界的に見て日本の半導体が売れているとは言い難いし供給期間が長さが採用の可否を決める重要なファクターではないって事だよね
供給期間が長いことを前提としたビジネスモデルが時代に即していないのではとかとも思う
0849774ワット発電中さん
垢版 |
2018/10/09(火) 21:21:49.69ID:23sReE9n
ルネサスの供給ってそんなに長いのかな?
ルネがディスコンにしたおかげで、安川のΣIIIはディスコンになったんだよなぁ
今はΣVの入手性が悪くなってるし、あんまり信用はしてないな

まぁ、スマホのSoCよりはマシなんだろうけどね
0851774ワット発電中さん
垢版 |
2018/10/09(火) 22:46:57.72ID:vCnuyyJp
アレやろ
永久保証とか書いてあるけど
会社がなくなって保証がなくなる奴
0852774ワット発電中さん
垢版 |
2018/10/09(火) 23:33:24.20ID:HoMnW+bU
>>849
安川って悪い意味で日本的な会社じゃね?
ちゃんと管理されているようには見えん
0853774ワット発電中さん
垢版 |
2018/10/09(火) 23:53:00.97ID:lipXP7HR
>>847
車や家電みたいに量産向けのものは、長期供給よりも単価優先。
商品自体のライフサイクルが短いし、大量に使うから、
部品をコロコロ変えても原価が下がるなら、再設計費用をまかなえる。
でも、年間1Kも売れないような商品に使うときは、
長期供給でないとディスコンなったら、ラストオーダーで一生分買うしかない。
0855774ワット発電中さん
垢版 |
2018/10/10(水) 10:12:30.37ID:JH2KrPcO
先に潰えるのは商品寿命かデバイスサプライか
まさにチキンレース
# 再生産依頼は忘れたころにやってくる
# それでも市場在庫調べてX線検査して使えなんて依頼がたまに
# メンドクセー
0856774ワット発電中さん
垢版 |
2018/10/10(水) 11:02:09.11ID:qZyf6I/e
そして中華のドンガラicがいつのまにか市場を席巻。
0857774ワット発電中さん
垢版 |
2018/10/10(水) 12:01:41.46ID:JH2KrPcO
たしかに
中華ローコストパチモンが幅を利かせていますな
ちょっと昔のアナログICとか
オリジナルソースはディスコンになったけど需要があるものとか
0861774ワット発電中さん
垢版 |
2018/10/11(木) 10:45:04.61ID:gXSL9Byd
humandataが在庫処分やってる
トレーニングボード \1500〜
オモチャにどうぞ
けどSPARTAN2だった
古すギィ
0862774ワット発電中さん
垢版 |
2018/10/12(金) 23:48:16.11ID:gEHU3QtR
単なる入門なら、秋月で売っているLatticeの800円で十分でしょうか?
0863774ワット発電中さん
垢版 |
2018/10/13(土) 01:11:26.67ID:neUXv4lW
何の入門かによる。
例えば将来Xilinx使うなら最初からXilinxのほうが良い。
ツールの使いこなしも重要。
0865774ワット発電中さん
垢版 |
2018/10/13(土) 10:22:09.88ID:9fYgEpVZ
xilinx予算@ebay
書き込み機(USB)\3000未満 [Xilinx Platform USB]
これに加えて
CPLDボードなら\1000 [XC9572XL board]
FPGA+DRAMボードなら\3000 [XC6SLX16 SDRAM]

配達に時間かかるけどね
0866774ワット発電中さん
垢版 |
2018/10/13(土) 10:36:03.99ID:neUXv4lW
今から始めるならVivado使える7以降が良いよ
0869774ワット発電中さん
垢版 |
2018/10/13(土) 11:31:12.85ID:83MUz3yK
HLSが無料
0872774ワット発電中さん
垢版 |
2018/10/13(土) 14:59:49.04ID:9fYgEpVZ
alteraだとCyclone IV EP4CE6のボードが\5000程度で手頃かな
周辺もいろいろ付いてる
alteraだったらプログラマもパチモンで\500位だし
(@ebay)
0874774ワット発電中さん
垢版 |
2018/10/13(土) 17:26:02.47ID:yttx5g+a
Vivadoに慣れておいたほうがよくない?
(開発PCに2018基準でそれなりのスペックが要求されることも含めて)
0875774ワット発電中さん
垢版 |
2018/10/13(土) 17:34:07.10ID:9fYgEpVZ
でもデバイスは6の方が安いんだよなぁ
7シリーズだとオーバースペックになりがち
0877774ワット発電中さん
垢版 |
2018/10/13(土) 20:45:17.82ID:4ZDwpEz2
cobolと同じで今現在ucf使えるのはメリットあるけど、今からucf覚えるのは無駄になりそう。latticeの最新ツールはまだucfなんだっけ?
0878774ワット発電中さん
垢版 |
2018/10/13(土) 20:51:45.34ID:BT/T1G3o
(200MB/s をストレージなんてできないよ ras3や zynqやってみたが無理 なんかいいのある?) 
0879774ワット発電中さん
垢版 |
2018/10/13(土) 21:00:16.03ID:BT/T1G3o
(ちなみにras3ではGPIOだけど直叩きでも 20MB/s 桁が一つ足りませんwww)
0881774ワット発電中さん
垢版 |
2018/10/14(日) 01:38:23.50ID:hSX+qfuC
>>867
なんの入門なのかによりけりだからな
今学生で将来FPGAを仕事で使うための入門なのか
趣味でFPGAを使うための入門なのか
HDLを覚えるための入門教材用FPGAなのか

今学生で将来FPGAの仕事したいなら、Xi、Alでそれなりに色々な物が載った少し高価なものがいいだろうし
趣味でFPGAなら安いLaの物でも良いだろうし
0882774ワット発電中さん
垢版 |
2018/10/14(日) 07:36:49.87ID:7TDjIHCw
よくこんなものをこのマイコンで実現できるな、と感心するようなマイコン野郎がいるけど、
そんな人がマイコンでどうしてもできないちょっとした拡張をするためなら、Lattice XO2とか
Altera (ではないのか、今は)のMAX II/ V あたりがフィットするケースが多いかも。

Xilinxは小規模デバイスをいつまで供給してくれるのやら。
0883774ワット発電中さん
垢版 |
2018/10/14(日) 09:50:57.39ID:YaOuMF+u
>>882
それだけのスキルがある人ならCortex内蔵とかniosなりmicroblazeなりriscvを組み込めるFPGAでSoC化してしまうアプローチを選んだ方がいいかもね
0884774ワット発電中さん
垢版 |
2018/10/14(日) 10:07:01.69ID:7TDjIHCw
>>883
ある種の志向の人にはそれが解だろな。
でも、マイコンが好きな人の何割かはミニマリストだったり手作業を好む人だと思う。
最適解は一つではないだろね。
0885774ワット発電中さん
垢版 |
2018/10/14(日) 10:17:40.52ID:niMv3vUK
応答性が不要ならマイコン
応答性が必要ならFPGA
それ以外はPLC 
まあ、いろいろあるわな
0886774ワット発電中さん
垢版 |
2018/10/14(日) 16:27:55.20ID:2mx6IF9M
XO2とか、ちょっと古いデバイスだと
serdesが遅くて今の標準になってる奴が使えないからね
hdmiは出来ても1920x1080はダメとか
SATAはダメとか
そこだけけ直してくれれば良いのだけどねぇ
0887774ワット発電中さん
垢版 |
2018/10/14(日) 16:42:21.40ID:oQ5/eqeK
単にちょこっとしたものをVerilogなり回路図ベースで動かす程度のお勉強なら、
PSoC5LPも悪くない。プロトタイピングボードが秋月で1500円で買える。
0889774ワット発電中さん
垢版 |
2018/10/14(日) 17:12:13.62ID:7TDjIHCw
そういやPSoCって前はVHDLはだめでVerilogだけサポートだったような。
勘違い? それとも今でも?
0891774ワット発電中さん
垢版 |
2018/10/15(月) 15:39:44.34ID:24JnccaY
>>889
そう、Verilogだけだったと思う。
メッセージ見てると、WARPが動いているんだよね。
0893774ワット発電中さん
垢版 |
2018/10/15(月) 20:33:28.05ID:rvDNzw0y
200MB(バイト)/s ストレージ で リアルタイムファイル保存 しろ むちゃくちゃやわ・・・
 こんなん 無理 泣きそう (いうのはタダだけど常識くらい 理解しとけ お前むちゃくちゃやわ) 怒っていいですか?
0894774ワット発電中さん
垢版 |
2018/10/15(月) 20:40:21.63ID:rvDNzw0y
転送の壁はなんとでもなるが FS(ファイルシステム)が鬼門なんだよ!!
 会社いくの 嫌になりそうww
0895774ワット発電中さん
垢版 |
2018/10/15(月) 20:41:14.83ID:EqQPQt7i
コストかけられない感じ?
コストかけていいならSSDとかNVMeでなんとか…
0897774ワット発電中さん
垢版 |
2018/10/15(月) 20:52:15.24ID:rvDNzw0y
>>896
いいえ ありがとうございます ハード屋なんで ストレージデバイスに
SSDも候補にありますよ いいサイトとかあればww

 
0898774ワット発電中さん
垢版 |
2018/10/15(月) 20:59:26.77ID:rvDNzw0y
(FPGAでDDR積んで実現したのに・・DDRを超えるストレージを上司要求
 いまこんな感じで悩んでるっていうか 飽きれてますww)
0899774ワット発電中さん
垢版 |
2018/10/15(月) 21:43:10.48ID:yn8faOdx
FSの処理なんてやってる暇ないから
RAWでやるんだ
コントローラとNAND-FLASHをダイレクトに使え
0900774ワット発電中さん
垢版 |
2018/10/15(月) 21:46:41.93ID:yn8faOdx
まだ足りない?
パラにしてキャッシュして分散書き込め
パイプラインの要領だ
RAID0だストライピングだ
開発費掛けても汎用化すれば商品として売れるぞ!
高速ストレージの需要は研究用に非常に多い
0901774ワット発電中さん
垢版 |
2018/10/15(月) 22:04:57.46ID:j3z52I4p
ワーストケースで 200MB/sec なら、大量のバッファが必要になるな。
普通に考えれば、PCIe 実装して、ストレージは PC に任せることになりそうだが。
0902774ワット発電中さん
垢版 |
2018/10/15(月) 23:39:14.37ID:R3bA0rk6
ファイルシステムをFPGA実装して高速化するというネタで博士を取れる可能性がもしかしてある?
0903774ワット発電中さん
垢版 |
2018/10/16(火) 02:29:21.09ID:mfitg7pM
なんかそういうFSを立ち上げれば良い。
なんてね、すみません。
0906774ワット発電中さん
垢版 |
2018/10/16(火) 19:24:28.93ID:3CVhwgD+
892です コメントありがとうございます。NVMeが良さそうです
hyperflashも良さげだけど・・・悩む なんだこれw
どちらにしてもIP高そうww(予算は100万・・・( ノД`)シクシク…)
FS無視してストレージ・・後でFSに変換って考えも有かもです。HDで言う
セクタ指定書きってやつですかね・・
0909774ワット発電中さん
垢版 |
2018/10/16(火) 22:43:30.17ID:eA3sZ5lJ
NVMeなら1台でそのくらい出る
0910774ワット発電中さん
垢版 |
2018/10/17(水) 11:49:32.80ID:uUIY1hJY
大物やって疲れると小物をちまちま弄りたくなる
そんなことありません?
0914774ワット発電中さん
垢版 |
2018/10/18(木) 00:30:40.89ID:e6GeYse7
>>912
いま大河でやってる西郷どんでも似たようなのあるでしょ
ダダで新型武器供給するから、勝利したら租借地ちょうだいってやつ
あんな感じなんじゃない?
0916774ワット発電中さん
垢版 |
2018/10/18(木) 15:58:03.32ID:WIEF0qTo
下地づくりだろうな
ARMに慣れてもらえば次も、となる
でも自分はCPUは独立したほうが設計しやすいと思う
コストは上がるがトラブルの切り分けとか開発分担とかできるし
後からCPU入れたくなったら使うかもだけどそんなことはなさそう
0917774ワット発電中さん
垢版 |
2018/10/18(木) 18:41:50.87ID:WIEF0qTo
デバイス選定中なんだが、XとAで比較してる。
マイコン周辺なので性能はそれほど求めないんだけど
Aの方が安・・・最新のcyc10安すぎ
でもconfigは専用ROMなんだよなぁ相変わらずAは。
Xだと汎用のSPI使えるのに
0920774ワット発電中さん
垢版 |
2018/10/18(木) 21:46:31.63ID:WIEF0qTo
altera(intel)のconfigROMって専用のしか使えないのかと思っていたが
他メーカの汎用SPIROMも使えるんだな
ツールでの書き込み含め純正同様に使えるらしい
純正高いもんな物によっては1/10の値段になるな
epcs-discontinuation-looking-for-replacement
0921774ワット発電中さん
垢版 |
2018/10/19(金) 21:28:28.70ID:nSb3SNSd
>>917
その用途だとMAX10で事足りる。
つくりたいものを無料Qualtusで合成で論理見積もりを出してから回路を組むとよいでしょう
0922774ワット発電中さん
垢版 |
2018/10/19(金) 21:31:21.58ID:nSb3SNSd
信号処理というか、画像処理というか、そういう用途ねーの

I2CとかSPIとか何を低速でFPGAで作らせて意味あるのバカなの死ぬの
とかそういう仕事が多いのって
業界のいる場所を間違えているんだろうか
0923774ワット発電中さん
垢版 |
2018/10/19(金) 22:27:04.99ID:/3bc78tF
ラズパイ用途だろうか。
そういう仕事をくれる所に
自分で身を置かないからだろう。
0924774ワット発電中さん
垢版 |
2018/10/19(金) 22:48:36.74ID:20z/gl8x
速度が速いのは基本的に通信系だろうなぁ
それ以外では高速なやり取りを使った製品が少ない未熟な市場なわけだ
0925774ワット発電中さん
垢版 |
2018/10/19(金) 22:59:42.10ID:mN4sCy7F
>>921
それだけの書き込みでよくそこまでわかるな
天才とバカのどっちなんだ?
0926774ワット発電中さん
垢版 |
2018/10/20(土) 01:33:34.40ID:9BrshCxF
>>925
SPIって書いてある時点で、速度がいらない
バッファはあっても2048-8192word*32bit程度
I/Oは5V系を要求
指令がCPUから来るだけで、
FPGAにさせたいことはI/Oを増やしたいだけ
そうすると組みやすくて安くて早い
昔のFPGAレベルは出来そうMAX10いったくで
他の出番がないなぁ

そんな考えで、
要求仕様書がCPU SPI
でおのずと速度が決まる。
ただ容量は少ないから高度なことは出来ないから先につくって合成して入りきらないものを要求仕様にあんなら、上位の少し高いデバイスにカネを出せば。
組み込みマイコンやならIntel(Altera)のほうが取っ付きやすいのでは

と思いついて提案しただけのような。寝る前に

で、あーあ信号処理Xilinx最新デバイスでゴリゴリまたしたいなぁ。高収入仕事ねぇかななぁ。と自分のボヤッキーしただけだよーん
0927774ワット発電中さん
垢版 |
2018/10/20(土) 01:44:41.89ID:9BrshCxF
>>923
ラズパイ用途だと、よっぽど変な仕様が無い限りFPGA必要ないのではw

ベースバンドI/Q送受信つくるとか
独自の画像表示器つくるとか

扱う人間様の速度もアタマも追いついていない感じだよ。なんとなくね
0928774ワット発電中さん
垢版 |
2018/10/20(土) 09:25:06.64ID:cz6cAgAp
特電がそんなことやってたな
変なこと引き受けるトコは少ないから
パンクするよ
0929774ワット発電中さん
垢版 |
2018/10/20(土) 10:58:52.97ID:WUX/GoHM
>>922
じゃ5G基地局のベースバンドでも引き受ければ
いくらでもFPGA限界性能で使う仕事あるんじゃね?

I2CにSPIてPICでええやんwww
0931774ワット発電中さん
垢版 |
2018/10/20(土) 11:37:44.57ID:EI+KYf1f
え、一番最後の行から推測するにSPI以上の速度が欲しいんだろ

「性能はそれほど求めてない」って言葉から
SPIの速度でも十分ってことになるから
少なくともSPIが使えるものを指定してやればいいだけじゃん

簡単な集合と論理じゃねえか
0933774ワット発電中さん
垢版 |
2018/10/20(土) 12:02:43.39ID:9QN1VZ2B
SPIでも100MHzとか普通にある
0934774ワット発電中さん
垢版 |
2018/10/20(土) 12:44:51.76ID:0efBRFqW
>>931
そういう思い込みで仕様にない仕様を勝手に読み取ってしまうから
君のプロジェクトはいっつも炎上してるんだよ
0935774ワット発電中さん
垢版 |
2018/10/20(土) 12:52:26.94ID:EI+KYf1f
>>934
え、会社でやってる仕事についてここで聞いてるとでも思ってるの?
もしそんなバカがいるなら大問題だなw


電子工作なんだからそいつの自己満が
満たせるように言えばいいだけじゃねえか

提示したのは一例でどうしたいのかは
追加で質問者に聞く以外にねえだろ
助言をするにしたって比較対象がなきゃ
助言のしようがねえだろうにそれさえ否定するとか
あんたどんな仕事してるの?

そもそも全部言えるならこんなスレで聞かずに自分で選定出来るっつーの
0936774ワット発電中さん
垢版 |
2018/10/20(土) 13:03:16.52ID:0efBRFqW
>>935
思い込みで突っ走る君の特性について述べてるんだよ

都合が悪くなると物事を矮小化したり、拡大解釈して、
は?何言ってんの?って開き直るのはどうかと思うぞ
0937774ワット発電中さん
垢版 |
2018/10/20(土) 15:05:22.53ID:9BrshCxF
>>929
立川 の アルチザ 面接受けたことあるが、おまえ社会人? ってのが出てくる。嫌だ怖い
0938774ワット発電中さん
垢版 |
2018/10/20(土) 15:07:27.02ID:9BrshCxF
重箱隅突っついて、叩くの君ら社内でもやってそう

大丈夫?部下とか辞めたりしてね?
0939774ワット発電中さん
垢版 |
2018/10/20(土) 15:11:02.59ID:9BrshCxF
100MHzでSPIだせるマイコンってなんだろう

ムダなこと考えてオモシロイね
0940774ワット発電中さん
垢版 |
2018/10/20(土) 15:28:28.33ID:EslZCjY0
SD/SDIO インターフェイスがあるようなマイコンは、50MHz は普通にいけると思うけどな。
ESP32 はたぶん 80MHz 。SPI だけじゃなく Quad SPI(QPI), Octal SPI もいけるようだ。他STM32 系とかもあるね。
マイコンと言えるかどうか知らないが、DRAM 内蔵 SoC - Allwinner V3s とかも。
0941774ワット発電中さん
垢版 |
2018/10/20(土) 15:39:21.61ID:9BrshCxF
まぁ MAX10で 最大動作周波数 か 論理容量満たせなかったら、ここの人らが代替案 だせるから
もう結論でてそうだけど
0942774ワット発電中さん
垢版 |
2018/10/20(土) 15:47:02.73ID:9BrshCxF
>>940
普段、CPU設計メインで
たまにFPGA
何か既存回路の置き換え
で彼がヘルプをよこしてきたとだと私はみてる。

じゃあその線で、適切なFPGAを彼に提案すればよかろー

ガンバってファイト(^-^)
0943774ワット発電中さん
垢版 |
2018/10/20(土) 20:17:42.08ID:7dlFqsHD
>>936
ブーメランですが大丈夫ですか?
こっちに全く来てないどころか思いっきり頭に刺さってるのですが…
0946774ワット発電中さん
垢版 |
2018/10/21(日) 16:55:02.94ID:DaaPtBhQ
プギャー
0951774ワット発電中さん
垢版 |
2018/10/23(火) 07:11:41.75ID:BbaRCYq6
やわらかピンがグネグネと触手の様に神経細胞に刺さるって事でつね。わかりまつ。
0956774ワット発電中さん
垢版 |
2018/10/23(火) 20:39:21.73ID:RGElxUlt
FPGAでボキュの脂肪を減らすにはどうしたらいいでしょうか?
0957774ワット発電中さん
垢版 |
2018/10/23(火) 21:24:37.36ID:Hfe7g2M8
適当な周波数の振動を与えてやれば何か効果がある…のかな?
0959774ワット発電中さん
垢版 |
2018/10/23(火) 23:10:57.59ID:er7XKfIJ
MAX10にリモートでマイコン経由で書き込みたいんだけど
PCのシリアルから書くのは見つけたけど
ソースがないみたい
0964774ワット発電中さん
垢版 |
2018/10/25(木) 01:36:50.86ID:enMw5MLj
>>960
「小学生からやり直せ」とか言う人いるけど、やり直せるならやり直したいよな
0965774ワット発電中さん
垢版 |
2018/10/25(木) 07:17:56.05ID:hSH+W1sG
FPGAに脳の処理をオフロードしたい
簡単な計算すらパッと出てこなくなった
0966774ワット発電中さん
垢版 |
2018/10/25(木) 17:36:27.72ID:DUX/HHz7
>>964
>「小学生からやり直せ」とか言う人

うちの職場だと、「この程度の仕事はサルでも出来る」と罵られるけど、
それじゃあ、そんな仕事できるサル連れて来い!ってんだ
0969774ワット発電中さん
垢版 |
2018/10/25(木) 22:29:11.73ID:Wy/psNN1
>>964
あのとき声をかけられていたら何かが変わっていたかもしれない、とか。

やり直せるものならやり直したいことはいくらでもありますね。
0970774ワット発電中さん
垢版 |
2018/10/26(金) 08:01:34.91ID:Jylhc379
>>969
やり直しても上手くいく保証ないし。
反省するのは有意義だが、悩んでも仕方の無い事でうだうだするのは、時間の無駄でしか無い。
0971774ワット発電中さん
垢版 |
2018/10/26(金) 08:34:19.86ID:PW1EZZ59
逆にすっげえ切り替えが早くて、同じような失敗を何度繰り返してもケロッとして
「済んだことはしかたがない」って感じの人も困りますね。

前を見て迷いなく進めることと、いつまでもうだうだ考えることは脳の中では同居できることだし。
0972774ワット発電中さん
垢版 |
2018/10/26(金) 13:28:25.42ID:riuJj/Tq
今が機会をもらってやり直してる最中だと思うようにしよう
0977774ワット発電中さん
垢版 |
2018/10/27(土) 10:19:51.30ID:Qt7MflQO
FPGA出来るっつてもいろいろな要素が
1.デバイス周辺回路設計
2.HDL
3.シミュレーション
4.アルゴリズム
5.組み込みCPU
他に何かあるかな?
0981774ワット発電中さん
垢版 |
2018/10/28(日) 09:56:55.42ID:PztL1LGn
Yahooのヘッドラインのタイトルで
「インテル 最年少26歳の新会長」
一瞬びっくりした…
0983774ワット発電中さん
垢版 |
2018/10/28(日) 13:40:51.99ID:bkda97qy
>>982
うちくる?
ドナドナだけど
0984774ワット発電中さん
垢版 |
2018/10/28(日) 22:44:29.50ID:TLeAvotz
>>983
派遣?ソニーLSIへのドナドナなら年収800万円それ以外なら年収600万円位なら考えてやってもいい。
今年収440万円程度の低収入だからな
0985983
垢版 |
2018/10/29(月) 00:05:51.86ID:p65seUV3
>>984
派遣じゃなくて、常駐請負ね。
お客さんがVerilogできる人いなくてこまってるのよ。
0986774ワット発電中さん
垢版 |
2018/10/29(月) 06:19:19.19ID:3Z6KWebq
時間チャージでどのくらいの金額になる? まあレベルによるとおもうけど。
暫くマイコンばかりやっていてFPGAを離れていたので、FPGAもやりたいね。
 常駐ならアメリカとか英語圏の海外がいいな。
0991774ワット発電中さん
垢版 |
2018/10/29(月) 19:20:55.78ID:dfPy4nO/
>>989
ピンハネ率2割ぐらいで月給60万円支給して、仕事なくなっても終身月給60万円支給すんならやってやるわ。おまえには無理だろうが。
0992名無し
垢版 |
2018/10/29(月) 23:56:04.76ID:QvkwhSkZ
>>988
勤めてた会社は、取引実績のある会社に間に入ってもらってたね。
実績が無いと、購買部門の信用調査とか時間が掛かって間に合わないから。そんなだから会社は傾いたが
0995774ワット発電中さん
垢版 |
2018/10/30(火) 10:12:57.79ID:bA4H/kes
無駄な商社が入って伝言ゲームと伝票の遅延と無駄なマージン
無駄無駄無駄!
ってのは大手になるほどありがち
10011001
垢版 |
Over 1000Thread
このスレッドは1000を超えました。
新しいスレッドを立ててください。
life time: 268日 20時間 45分 26秒
10021002
垢版 |
Over 1000Thread
5ちゃんねるの運営はプレミアム会員の皆さまに支えられています。
運営にご協力お願いいたします。


───────────────────
《プレミアム会員の主な特典》
★ 5ちゃんねる専用ブラウザからの広告除去
★ 5ちゃんねるの過去ログを取得
★ 書き込み規制の緩和
───────────────────

会員登録には個人情報は一切必要ありません。
月300円から匿名でご購入いただけます。

▼ プレミアム会員登録はこちら ▼
https://premium.5ch.net/

▼ 浪人ログインはこちら ▼
https://login.5ch.net/login.php
レス数が1000を超えています。これ以上書き込みはできません。

ニューススポーツなんでも実況