X



トップページ電気・電子
348コメント98KB
【Verilog】 記述言語で論理設計Project15 【VHDL】 [無断転載禁止]©2ch.net
0196774ワット発電中さん垢版2018/07/19(木) 16:47:49.09ID:nh5u8iIC
順列発生カウンタとか組合せ発生カウンタとかないね。
0197774ワット発電中さん垢版2019/01/02(水) 20:56:55.49ID:4FE0iZpC
最近Verilog勉強し始めたんだけど
論理回路を意識したほうが良いのだろうか
レジスタ記述はDFFを組み合わせてるところがプログラム的に理解できるけど
カウンタの記述とかはもうなんか論理回路が関係なく
マイコンのプログラムとあまり変わらない感じがする
0199774ワット発電中さん垢版2019/01/29(火) 00:40:42.08ID:T9JVzTtV
インテルかXilinxか
それが問題だ
0200774ワット発電中さん垢版2019/01/29(火) 03:53:26.19ID:Z2u9OB2K
wniの鈴木里奈の脇くっさ
      (6 lゝ、●.ノ ヽ、●_ノ |!/
         |     ,.'  i、     |}
       ',     ,`ー'゙、_    l
       \ 、'、v三ツ   /
        |\ ´  ` , イト、
       /ハ ` `二 二´ ´ / |:::ヽ
     /::::/ ',   : . . :  /  |:::::::ハヽ
https://twitter.com/ibuki_air
https://twitter.com/5chan_nel (5ch newer account)
0201774ワット発電中さん垢版2019/02/04(月) 09:45:38.85ID:qh3PYBZy
>>197
カウンタもDFFの組み合わせには見えないの?
0202774ワット発電中さん垢版2019/02/05(火) 03:11:29.97ID:UE5XxRte
>>197
Verilog カウンタ で思い出したけど、
a++;
のような書き方って、できるようになったのかな? 以前は、
a << a + 4'd1;
と書かないといけなかったんだが。
0204774ワット発電中さん垢版2019/03/17(日) 20:05:03.44ID:HrvmmVbu
ここが適当かわからないんですが、アサーションで、Aという信号がHのとき、Bクロック信号が、発振してることを確認したいです。周波数は不明とした場合、確認できる方法ありますか?
0205774ワット発電中さん垢版2019/03/24(日) 23:11:22.82ID:PFDyOjPv
>>204
BのエッジでAをFFに取り込むってのは?
一定時間変化しない場合リセット

でも周波数が不明ってどういう状況よ
0206774ワット発電中さん垢版2019/03/25(月) 06:22:05.79ID:N77oml4f
>>205
PLLの設定をするHDLの挙動がおかしくて
意図した通りに設定できてるか確信が持てないということでは?
0209774ワット発電中さん垢版2019/03/26(火) 21:48:14.34ID:FtnUFOrJ
クロックが正常発振してることより、
そのクロックがつながってるPLLがロックしてることで調べることはできないの?
0210774ワット発電中さん垢版2019/03/26(火) 21:52:52.94ID:FtnUFOrJ
>>197
プログラムさえできれば十分
MatlabがHDLコード吐いてくれる
状態遷移図さえ書けばHDLコード吐いてくれるツールもある
日本でHDLコード書いて給料もらえる会社て
ルネぐらいしか残ってなかったりして
0213774ワット発電中さん垢版2019/03/29(金) 07:47:14.23ID:K3zucI53
>>202
ブロッキングだからカウンタとかには使わない方が安全
0215774ワット発電中さん垢版2019/04/06(土) 11:02:03.35ID:dX23tMPq
a <= ++a;
なら大丈夫だけど、だったら
a <= a + 1’b1;
で良いだろう
0221774ワット発電中さん垢版2019/08/12(月) 19:25:53.24ID:n1fA+4aw
ARM内蔵のSoCFPGAを軽く使ってみたけど、
メリットを享受するには、ライセンス料払わなあかん。
そうでないならio操作にmmap多用する事になり、いちいち遅い。
これなら素直にNios2使った方が良いのでは?と疑問。
0222774ワット発電中さん垢版2019/08/12(月) 20:07:13.96ID:L6YIiAC1
一旦mmapしたら、後は単純 Read/Write だけだろ
主張してる事の意味がわからん

ライセンスうんぬんも、具体的に何を指してるか不明
有料IPの事を指してるのならそれは当然

ARM-SOC が余りにも安過ぎて、"ARM内蔵FPGA" に殆どメリットを見出せない
これが個人的な感想だな
0224774ワット発電中さん垢版2019/08/13(火) 08:26:34.13ID:HUMCNrTF
そうなのか。俺が悪かった。
0225774ワット発電中さん垢版2019/08/15(木) 15:44:15.54ID:pV/rv6F9
株式会社クイック 経由でFPGAの転職を探してはならない。
0230774ワット発電中さん垢版2019/09/09(月) 07:55:42.02ID:cA9O0egb
Niosの周波数によるけど、
Nios100MHzで動かしたものと比べて、
Arm側からのmmapでのアクセスが遅いなんてことは全くないが。
0231774ワット発電中さん垢版2019/09/12(木) 20:56:46.77ID:qr9N38Lr
>>128
ハード的にプログラムしてしまえば、命令のフェッチが不要になるから、高速化出来る。
0232774ワット発電中さん垢版2019/10/06(日) 11:15:36.16ID:dxym0Gow
速くなるのはそこじゃない。パイプライン使えばフェッチ中、別なステージを実行できる
ループを回路展開して1クロックで実行できるようにするとかだな。
0233774ワット発電中さん垢版2019/10/11(金) 09:42:14.51ID:nhTX4VfJ
自作の論理合成、最適化をやっていると驚くべき良い結果が出てくることがある。
きっとこれが定理とか法則の発見だろう。
0235774ワット発電中さん垢版2019/10/11(金) 23:39:21.72ID:aG0iLRs1
>>234
そういう理性的なレスやめようよ。
0238774ワット発電中さん垢版2019/10/21(月) 23:05:29.93ID:dnB1jmr8
シミュレーションでは仕様通りに動いて、
論理合成が行われない回路を書く新人君が
「奇術言語はそろそろ卒業しような」と説教されていた
0240774ワット発電中さん垢版2019/10/24(木) 21:07:26.08ID:VkbRp6QV
会社で新人を苛め抜くお前たちを見た。プロジェクトは解散だな。会社もかも
0242774ワット発電中さん垢版2019/11/16(土) 13:05:46.77ID:9Ewau4oB
シミュレーションで仕様通りに動くんだから、
HLSでやれる仕事アサインするのが正しい仕事の割り振り方
新人だろ?たいしたもんじゃねーか。
俺が入社したときは、今頃、京橋のxx学園に全員集められて最後の集合研修やってたぞ。
0243774ワット発電中さん垢版2019/12/19(木) 20:21:58.06ID:hnxpTC/s
vhdlのsignalで値を定義しないで使った場合、論理合成後にどう扱われるか決まりってある?コンパイラによる?
0245774ワット発電中さん垢版2019/12/20(金) 18:20:38.12ID:T+tY9xlp
多分、宣言したけど初期値も代入もしていないsignalを参照したときの値だと思う
0として扱われるはず
合成時に警告が出る
0246774ワット発電中さん垢版2020/01/03(金) 00:33:56.15ID:ur/EQKxz
signalの宣言で初期値のかわりにport名を定義したときはどうなりますか。
以前試したときはportのエイリアスになったが、ググってもそれが定義された動作なのか未定義でツール依存なのか判断つかなかった。
0249774ワット発電中さん垢版2020/01/11(土) 16:17:34.08ID:j8wuoBDS
シミュレーションでは仕様通りに動くんなら、
当面テストベンチ作成要員にしとけばええがな
そのうち論理合成可能なHDL書けるようになるわ。
0251774ワット発電中さん垢版2020/02/06(木) 16:32:47.87ID:voYgKVfD
すなわち論理合成可能なHDL書くにはおれのような非凡な才能が必要で
おまえらがいくら修行しても無理だと言いたいのだ。
0254774ワット発電中さん垢版2020/06/09(火) 15:57:38.51ID:yFlSchCo
2進数の10bitで来た信号(10進数の0〜999)を
10進数の桁ごとに分けて2進数の出力をしたいんですが
d739 (10'b1011011100) → d7 (4'b0111) 、d'3(4'b0011)、d'9(4'b1001)

今は来た信号を100で割り算して百の位を出力、
出力を百倍して元の信号と引き算して10で割り算して十の位を出力。。。
のような流れで割り算、掛け算+減算の階段でやっているのですが
掛け算、割り算を用いないでもっとスッキリした方法はありますか?

極力軽い動作にしたいのですが、初心者のため思いつかないので
ここに知恵を借りに来ました。
0256774ワット発電中さん垢版2020/06/10(水) 09:12:42.72ID:KfgmSXBS
>>255
(´・ω・`)無いんですね・・・
0257774ワット発電中さん垢版2020/06/10(水) 11:34:53.81ID:5NH3QB02
100で割ってから100倍するのは明らかに無駄
10で割りながら下の桁から処理するのが定石
0259774ワット発電中さん垢版2020/06/10(水) 12:28:54.54ID:5NH3QB02
あっちに答え書いてあったけど
上限が判ってるならテーブル参照が最速かもな
0260774ワット発電中さん垢版2020/06/10(水) 13:03:45.83ID:KfgmSXBS
>>257
そもそもの原理が無駄が多かったですね・・・
ただ、現在は乗除算無しで考えていこうとしているので
中々思いつかない現状です。

>>258
パラレル処理?のことなんですかね
どういった内容になるのか詳しく知りたいです。

>>259
1000個の参照ポイントを用意するって感じですか?
0261774ワット発電中さん垢版2020/06/10(水) 13:35:47.86ID:wDcrBWNv
10bit目観れば512以上か未満か判るだろ
512以上なら5,6,7,8,9のどれか
512未満なら0,1,2,3,4,5のどれか
次に9bit目を観る
・・・
これをパラでやる
0262774ワット発電中さん垢版2020/06/10(水) 15:17:57.31ID:ylnvimF5
2進数を入れたシフトレジスタの左にBCDを格納するシフトレジスタをつなぐ
BCD側のレジスタは全て0にして、下から4ビットずつに区切る
以下の手順を2進数の桁数分繰り返す
BCD各4ビットで0101以上なら0011を足す
1ビット全部左シフトする
0263774ワット発電中さん垢版2020/06/10(水) 15:18:09.43ID:obppSqvF
ROM(RAM)を使ったテーブル参照が、 ベスト
最近のヤツなら、BlockRAM:一個程度の消費で済む
既に 100% BlockRAMを使い切ってるてのは、 まず無いいからな
(大量に使うヤツでも、万一の為にそなえ数個程度は残しておくのが普通)

下手な回路を考えてるより、 よほど賢い
0264774ワット発電中さん垢版2020/06/11(木) 17:22:12.60ID:W5gPWE03
100を4回引き算して百の位、余りから10を4回引いて十の位
こんなのでできた気がする。
0271774ワット発電中さん垢版2020/09/13(日) 08:33:21.93ID:vc8Q3h+7
SystemVerilogなら良いのか?
結局は自分が追いつけない物に文句言ってるだけだろう
0272774ワット発電中さん垢版2020/09/13(日) 11:52:34.31ID:etV4yc3F
ボキュ
SystemVerilogも使えるから、年収800万円以上出せるなら転職を考えてやってもいいけど、そういう会社が無いのは何で
0273774ワット発電中さん垢版2020/09/15(火) 00:40:54.18ID:E4CR/7Zf
verilog始めたばかりです。
100mhzで1クロック幅のパルスを
50Mhzの回路のenableとして使ってるんですが実機の基板で動作しないときがあります。こういうときはどうするのがよいですか?独学だから難しい。
0275774ワット発電中さん垢版2020/09/15(火) 07:00:57.87ID:gSh24P2r
100mHz なら動きそうだけど
100MHz だと50MHzより狭いんだから動かなくて当たり前。
0277774ワット発電中さん垢版2020/09/15(火) 12:26:57.90ID:l62r70fB
100MHzの間違い。
シミュレーションだと動くんだけど。
単純にパルスを伸ばせばいいのかな。
やってみます。
0278774ワット発電中さん垢版2020/09/15(火) 14:10:04.48ID:DLPjG/C3
100MHzで2クロック分のパルスじゃないと50MHzのクロックでは叩けないよ。
あとは、この2つのクロックが同じ発振源から作られていることとか、
クロックの位相(50Mと100Mのクロック立ち上がりの時間差)なんかも
ちゃんと規定しておかないと、実機では再現性のある動作が期待できないよ
0279774ワット発電中さん垢版2020/09/15(火) 16:43:27.54ID:l62r70fB
>>278
ありがとうございます。
100MHzから50MHzを作ってます。
同じクロック元です。
2クロック幅にしてクロック制約調べて入れてみます。
0280774ワット発電中さん垢版2020/09/15(火) 20:43:10.88ID:vUS3KOT2
100MHz enable 立てる
50MHZ enable立っているの発見 ack上げる
100MHz ack上がったの確認 enable下げる
50MHZ enable下がったの確認 ackを下げる


送信元クロックで2回FFで叩いて
受信元クロックで1回FFで叩いて
信号をやりとりする

というのが基本だと思っているんだが、どうよ
0283774ワット発電中さん垢版2020/09/15(火) 22:17:32.57ID:1RBfeO/K
なるべく複数のクロックソースを使わないのが基本だよね
どうしても必要なら>>280のようなこと(よく読んでないけど)もやるけど
0284774ワット発電中さん垢版2020/09/16(水) 06:28:03.80ID:prDJsXXH
出力バッファが弱いだけだろう。
0285774ワット発電中さん垢版2020/09/21(月) 00:27:36.95ID:GkH9nrzm
100MHzの源振で、100MHz clockで1clock作り出すというのだから、↑↓で2clock必要だから、
↓の時点で100MHzが食ってくれそうな気もするけどね。
ホールド不足だろうか。
0286774ワット発電中さん垢版2020/09/24(木) 22:55:18.39ID:MNKMYjwb
100MHzで1クロックのパルスを10kHzとか遅いクロックで使うにはどうするの?引き伸ばすの?
0289774ワット発電中さん垢版2020/09/25(金) 12:49:28.05ID:a8sjFznc
>>286
HD映像データ(約74MHz)用メモリの空き領域に音声データ(48kHz)を居候させて、
出し入れする制御は実際に昔やったことがある。
メモリは74MHzで動いているから、読み出したデータは48kHzの1クロック期間ホールドする。
スピード差は3桁(1000倍以上)だが問題ない。
0290774ワット発電中さん垢版2020/09/26(土) 10:29:23.78ID:HwNr3S2N
音声を映像と同じクロックで扱わなきゃいけないのがもったいない。

でもマルチサイクルパスとかクロック載せ替えやるとバグのもと。
0291774ワット発電中さん垢版2020/09/26(土) 17:42:50.05ID:SIeDHPXx
シミュレーションでは動くのに実機で動いたり動かなかったりする。
0292774ワット発電中さん垢版2020/09/26(土) 18:40:19.23ID:/F9E71nl
遅延シミュレーションでも掛ければ、スグに正体現すよ
死ぬほど時間掛かるから、余程の事が無いとやらんけどな
大概は、論理シミュレーション & 制約MET -> 実動作で確認、で済ませてる

趣味でやってる人で、制約掛けてるヤツなんて殆ど見たこと無い
その結果が ↑の >シミュレーションでは動くのに実機で動いたり動かなかったりする
そりゃ、そうなって当然だって
0293774ワット発電中さん垢版2020/09/26(土) 21:30:16.62ID:aMPCxEFy
遅延シミュレーションとか90nmの時代までかな。
STA 通れば問題にならないよ。
0294774ワット発電中さん垢版2020/09/27(日) 07:47:01.92ID:YDOHU9dy
やっぱりそうだよね
STAあるのにインプリメント後のSimなんて意味が分からんわ
0296774ワット発電中さん垢版2020/09/27(日) 14:31:24.64ID:h/07VqFn
STAはパンクするのではないか
0297774ワット発電中さん垢版2020/09/27(日) 17:33:06.81ID:mJ54mVso
FPGAでもSTAはあるな。
ってか、制約付けずに合成するかな?
ピン配置すら決めてなさそう。
0298774ワット発電中さん垢版2020/09/28(月) 15:23:52.03ID:ZofM1AV5
新人の時CLKをCLKでそのまま打ってインプリ毎にグローバルに乗ったり乗らなかったりを繰り返し、最終版で見事グローバルから低温でしかエラーしないタイミングで外れ大規模不具合を引き起こしたぼくが通るぞ
0299774ワット発電中さん垢版2020/09/28(月) 15:26:06.35ID:ZofM1AV5
新人僕「タイミングチェックってHoldもできるんですか?え?Holdって低温時のほうが厳しくなるんですか??」
0300774ワット発電中さん垢版2020/09/30(水) 20:57:34.72ID:r8TT/iid
東芝がシステムLSI事業から撤退、だそうだ。
https://monoist.atmarkit.co.jp/mn/articles/2009/30/news050.html

15〜20年くらい前、東芝・NEC・富士通などに発注して
DRAM混載のシステムLSI(今ではSoCと呼ぶ人のほうが多いのか?)
を度々作ってもらっていたのが懐かしく思い出される。
設計はVerilog。VHDLに出会うことは無かったな。
0303774ワット発電中さん垢版2020/09/30(水) 21:46:52.33ID:dh8TWTMG
入社するときは優秀な人たちが馬鹿になって行く不思議な会社
0304774ワット発電中さん垢版2020/09/30(水) 22:52:15.26ID:dT7ATEy0
>>300
DRAM混在はあんまりなかったはず。プロセス違うからね。
VHDLってどこで使われてるんだろう。
米軍向け?
0305774ワット発電中さん垢版2020/09/30(水) 23:25:46.90ID:R7ENorU3
物好きな大学教授が「バグを検出しやすいから」とか言ってVHDL推してたわ
なおその教授の研究は昔から全然進んでない
0306774ワット発電中さん垢版2020/10/01(木) 07:48:41.32ID:ASWYfv44
DRAM混在ASICを作ってもらえるユーザーは数社しかない。ほとんどが値段聞いてビビる。
0308774ワット発電中さん垢版2020/10/01(木) 10:27:25.04ID:iLDU4h9d
利点があったってあまり使われてない言語なんて履いて捨てる程あるからな!
ばるてのーんとかw
0310774ワット発電中さん垢版2020/10/01(木) 13:28:39.40ID:Okjn6PWg
そういう場合、使えないのは言語でなくてお前のほう。
かと言って、Lint でガチガチにするのはやめてくれ。
0312774ワット発電中さん垢版2020/10/01(木) 16:45:09.93ID:7DdZbF3O
>>303
311原発事故の東電の人たち観て思った
0314774ワット発電中さん垢版2020/10/02(金) 13:13:46.95ID:JHsKCZ+8
SpyGlassなんて高級品は使えません。
RTLは外注に出すのがスタンダードになったからキツイ。小規模なやつだから自分で作ろうとすると無償ツールしか使えない。
0315774ワット発電中さん垢版2020/10/03(土) 05:06:36.62ID:CwnxhYG9
いま、16bitの信号処理をverilogで書き出したら、掛け算の結果のビット間違えて、検証にどえらい時間がかかるから、VHDLで書いているんだけど

なんか文句あっか
0322774ワット発電中さん垢版2022/09/15(木) 14:39:59.19ID:xS5+mJXV
CとかMATLABで設計できる時代には、結局まだなってないのか。
まー、好き勝手な回路作られても困るしな
0323774ワット発電中さん垢版2022/09/16(金) 10:58:17.47ID:RZbdKLa3
手作業で合成するんですか?それとも、ユーザーが論理回路書いたら
開発環境みたいなのが論理展開して最小な構成案とか提示してくれるんですか?
0325774ワット発電中さん垢版2022/09/16(金) 12:18:30.08ID:dO0gy88g
>>323
合成は開発環境がしてくれるんだよ。
でも、開発環境に食わせる回路に、いくつかのレベルがあって、云々。
メジャーなのは、多少の論理回路(あーだったらこーなるみたいな)を同期回路(F F)で叩いて繋げていくやつなのかな。20年以上前からそうだったと思うけど、トランスファーレベルとか言ってたような気がする。もう忘れた。
0326774ワット発電中さん垢版2022/09/16(金) 12:54:25.48ID:rofojeSk
今でもRTL(レジスタトランスファロジック が基本。
それがわからない新人が「エラーが取れないんです〜」って泣きついて来る。
0327774ワット発電中さん垢版2022/09/16(金) 13:12:35.81ID:RZbdKLa3
なんかよーわかりませぬが便利そうですのぅ 

FPGAを使う予定はないけれど、
その機能目当てで開発環境だけインスコすっかな(^p^;フヒヒ
0328774ワット発電中さん垢版2022/09/16(金) 16:28:18.77ID:dO0gy88g
>>327
簡単なキット買ってLチカとかすると案外面白いよ。タイミングとかあんま意識しなくてもいいし。
0329774ワット発電中さん垢版2022/09/16(金) 16:29:25.49ID:dO0gy88g
>>326
ありがとうございます。そーか、RTLて言葉すら忘れてました。
0330774ワット発電中さん垢版2022/09/16(金) 17:43:51.80ID:+NHZKjH5
RTL = Register Transfer Level かな。
職場では慣用的にVerilog-HDLで書いた「論理回路のソースコード」の意味合いで使っているな。
「お前の書いたRTLはいつも見易いな/見難いな」とか。
0332774ワット発電中さん垢版2022/09/17(土) 06:33:37.41ID:Xx7itxc5
うちの会社はVHDLだった。中にはシミュレーションだけVerilog-HDLの人もいたけど
0333774ワット発電中さん垢版2022/09/17(土) 09:42:29.39ID:J4bNj1zy
テストベンチをRTLで書けって言われたら… 出来ませんだよな。
0335774ワット発電中さん垢版2022/09/17(土) 18:22:11.13ID:Xx7itxc5
結局、FPGAは実機で動かしてデバッグしていくのが効率的なのかなぁ。昔はRS232cでFPGA内蔵マイコンと通信してデバッグしてたけど、今も変わらんのかな?
0336774ワット発電中さん垢版2022/09/17(土) 20:43:26.45ID:J4bNj1zy
流石に全部実機は辛かろう。ファンクショナルだけでもシミュレータでやるのがいいと思うが。
0338774ワット発電中さん垢版2022/09/18(日) 11:54:20.30ID:fpWrlkpV
今思い出したけど、自分は基本的な動きはテストベンチ作って状態遷移とか確認して、そのあとMATLAB/Simulinkでシミュレーション系を作って、RTLを読み込ませるプロックセットを使って検証していた気がする。なんか、転職したから全部忘れてしもうた。趣味でまた始めたいんだけど、なかなかね。
0340774ワット発電中さん垢版2022/11/17(木) 12:42:41.55ID:a+plK6vp
タイミング制約がめんどい
つけなくても動いてるけど
ツールは制約付いていないと文句言ってるけど
0343774ワット発電中さん垢版2022/11/17(木) 22:46:34.15ID:a+plK6vp
こんかいのは繋ぐデバイスが遅いから手抜き
以前serdesで500MのADC繋いだときは
ギリシャ
0344774ワット発電中さん垢版2022/11/30(水) 11:09:22.21ID:fAyEbzni
こんにちは
VHDL(1993/2008) numeric_stdを使用している状況で
32bitを超えるカウンタを生成するにはどういったアイデアがあるでしょうか?
std_logic_arith、std_logic_unsignedを使用しているときはstd_logic_vectorで良かったかと思います。

メモリアドレスカウンタを作りたいのですがメモリサイズが大きく32bitでおさまらないのです。
よろしくお願いします。
0345774ワット発電中さん垢版2022/11/30(水) 12:33:41.35ID:xUuO9dJi
32bit=4G(ギガ)で収まらないアドレスカウンタとは、
どのくらい大容量のメモリを使う予定なの?
例えば1チップで32GbitのDRAMを使う場合でも
構成が4Gアドレス×8bitだったりするからね。
それにDRAMのアドレスの場合、RowとColumnの2つに分けて入れるから
アドレスカウンタのビット数はもっと小さくて済むよ。
4Gアドレスなら、Row(24bit)×Column(8bit)みたいな感じ。
0346774ワット発電中さん垢版2022/11/30(水) 12:57:27.31ID:fAyEbzni
レスありがとうございます
メモリはDIMMで8GB/16GBを想定しています
メモリコントローラはipを使います
実際データ幅は32bit以上なので下位bitを省けば32bitでまかなえると思いますし
カウンタを分離する方法でもいいかとも思っています
ただし、何かアイデアがあればと思いお伺いさせて頂きました
0347774ワット発電中さん垢版2023/03/12(日) 21:17:10.43ID:cGxMlPMj
ロケットのアレは電源系からの回り込みノイズも考えられる
今の電源関係は電圧低いからねぇ

ノイズ周りはパチンコ屋が強いんだっけ?
レスを投稿する


ニューススポーツなんでも実況