トップページ電気・電子
1002コメント391KB
【回路図】CAD / EDA 総合【基板】 [転載禁止]©2ch.net
レス数が1000を超えています。これ以上書き込みはできません。
0001774ワット発電中さん
2015/08/29(土) 03:13:05.82ID:LwLsaYA0
回路図や 基板アートワークに使用するツールを語らおうではありませんか。
多少の雑談や専スレがあるツールの話もまあ良いのではないでしょうか。各々の良識で。
(専スレのあるツールは情報の散乱を防ぐ意味で誘導してあげる方が良いかもしれませんね)

dat落ちしていたので、再度上げました。
0002774ワット発電中さん
2015/08/29(土) 03:13:58.81ID:LwLsaYA0
ちなみに僕は 会社で OrCAD使っています。回路図専門です
0004774ワット発電中さん
2015/08/31(月) 12:25:18.14ID:JAlFTfUb
ただ、EAGLEもKiCADも専用スレあるし、ここで話題にするのがあるのかっていう・・・
0006774ワット発電中さん
2015/08/31(月) 16:46:15.52ID:bFC83woc
アートワークを生業にしててCSiEDA使ってます。
あとPADS PPRFORM
0007774ワット発電中さん
2015/09/01(火) 01:16:52.12ID:6lvnIKA2
自腹切って買ったOrCAD使ってたけど、KiCADに流れた。
0011774ワット発電中さん
2015/09/05(土) 10:35:03.62ID:48yr6iQL
半導体メーカーから出ているデータが、
OrCADなことが多いのは、それだけ使ってる人が多いということなのか?
0013774ワット発電中さん
2015/09/07(月) 13:28:28.27ID:Rr9SiScF
>>10
OrCAD持ってないというところがあったんで、フリーな物で
データ渡そうと思ってね。
KiCAD使ったら、それなりに使えたんで、とりあえずいいかと
思っているうちにKiCADしか使わなくなってた。
0014774ワット発電中さん
2015/09/07(月) 18:46:28.51ID:P73IYxL1
DesignSpark Electricalかぁ・・・制御盤設計用みたいだし、アマチュアにはあんまり関係なさそうね。
0015774ワット発電中さん
2015/09/09(水) 22:00:30.05ID:EZgmBSxe
OrCADを使っています。

デジタルトランジスタが入っているライブラリ(olb)が見つかりません。

どのライブラリに入っているんでしょうか?
0016774ワット発電中さん
2015/09/10(木) 12:40:17.11ID:nmQww9Mw
OrCADで教えてください。

配線をまとめて延長する方法はないでしょうか?

・すでに部品と部品間を接続してある場合、部品を移動すれば、その間の複数の線も
  同じように延長されます。
   □--------□ の感じです。

・ところが、片端が未接続 ( □-------- )の配線を、まとめて選択し、右側に伸縮しようとすると、
  マウスが触れている1本以外の選択が解除され、その1本しか伸縮できません。

これを○○キーを押しながらやれば全部付いてくる、などの方法がないかと思っています。
宜しくお願いします。
0017774ワット発電中さん
2015/09/14(月) 03:14:52.84ID:oJPKneG5
>>16
OrCADは、それほど賢くないので、使うほうが工夫する必要がある。

だいたいの線の本数を見て、ライブラリーから、コネクタを出してつなぐ。
そのコネクタを持って引っ張れば、同時に伸縮できる。
使用後のコネクタは消して、またプロジェクトでCacheのクリーンナップをしておくと良い。
0018774ワット発電中さん
2015/09/14(月) 03:20:00.61ID:oJPKneG5
OrCADで教えてください。

パーツのライブラリを、スプレッドシート流し込みで作るとき、データをExcelで作成します。
ところがそのピン名に小文字を書いても、生成されたパーツのピン名は、大文字になってしまいます。
流し込みで、小文字が生成できる方法が、あるでしょうか?
0019774ワット発電中さん
2015/09/14(月) 11:08:45.96ID:HnkJc4zz
OrCADは、賢くないからね。
我慢して使うしかないんじゃない?
0020774ワット発電中さん
2015/09/16(水) 22:46:42.03ID:gvfMxcdk
タブレット機でサクサクっと使える奴ないのかなぁ
古くからあるものはキーボード多用しないと
使い物にならない印象あるんだけどどうだろ?
0021774ワット発電中さん
2015/09/17(木) 00:49:31.20ID:e/KEsH7o
CAD操作で、キーボード多用しないのは、考えられない。
ショートカット覚えないと能率が悪くて。
まさか今時、右クリックでcopyして、右クリックでpasteなんて、やってられない
0022774ワット発電中さん
2015/09/17(木) 08:37:13.35ID:WpAEBezM
それは分かるけどマルチタッチも出来るし画面も
広く使えるようになってきてるのでもう少しUIを
練ればそこそこの使い勝手のものは作れるのでは
ないかなーと

家でいじる時に寝そべりながらマッタリ出来たらな
と思っただけなんだけどね
0023774ワット発電中さん
2015/09/17(木) 16:49:58.35ID:7A5YU2DL
むしろ、キーボードでちゃんと使えない物の方が多いような・・・
0024774ワット発電中さん
2015/09/18(金) 04:04:12.43ID:QQNjm/Fm
OrCADで教えてください。

同一図面上で、
左chと右chみたいな感じで同じ回路があるとき、
左chの部品は、R100〜 C100〜 、右chの部品は、R200〜 C200〜 、とか
Ref番号を分区分して自動割り当て(アンノテーション)したいのですが、
できるでしょうか?
左上からの通し番号になってしまうのですが。

あと「Nキー」でネット名称を付けるとき、
同じネットなに違うネット名を付けても、何もエラーを言いません。
これを「エラーだぞ」と言うお知らせができる設定は、ありますでしょうか?

宜しくお願いします。
0025774ワット発電中さん
2015/09/18(金) 21:38:25.10ID:BWETVzzu
通し番号になるのが正しい。
DRCでチェックできないなら無理
0026774ワット発電中さん
2015/09/23(水) 02:34:09.41ID:DfJrnttr
Xpedition縺ァ?スア?スー?セ??セ懶スー?スク繧?縺」縺ヲ繧?
蜷悟」ォ縺ッ縺?縺ェ縺?縺?
0027774ワット発電中さん
2015/10/04(日) 11:00:32.86ID:V1cloVqv
orcadって購入した場合、サポート受けられるんですか?
0028774ワット発電中さん
2015/10/06(火) 02:41:00.83ID:D+4VkcpF
1年間は受けられる。
無料のセミナーもある。

でも、ここで質問すれば、回答があるよ。
0029774ワット発電中さん
2015/10/08(木) 10:07:41.91ID:yrT3+ZOM
実際は、買ったところに質問するだろうから、ここで質問は少ないのでは?
0030774ワット発電中さん
2015/10/15(木) 22:25:05.67ID:+bzc1pfU
OrCAD Captureの、回路図で、LABEL STATEが とても便利。
作業画面の途中経過を登録しておけば、いつでも その画面状態に戻れる。
途中経過は、何個でも登録できて、前にも後ろにも飛べる。
Saveしてしまうと忘れてしまうけどね。

心配だから、これまでは その都度「201510152103」とか日付を入れて
別名保存してたけど、その必要もなくなった。
0032774ワット発電中さん
2015/10/19(月) 17:00:31.42ID:zv9NzsPy
NIのMultiSIM BLUEってやつがMouserから無料でダウンロードして使える
ようになってるね
以前アナデバでシミュレータ使えてたけど、今度のはPCB設計まで
含んでるみたいだ
0033774ワット発電中さん
2015/10/23(金) 16:06:49.03ID:7OXYxasR
OrcadはXpまでは使えたんだが、Windows7になってから使えなくなった。
それでVMWAREでXPモードを動かして使ってる。これがちょっと不便なんだよね。
無料CADでいいのがあれば替わりたい。
0034774ワット発電中さん
2015/10/23(金) 16:48:02.00ID:KD2pSpn1
KiCadもあるしEagleもあるよ
0035774ワット発電中さん
2015/10/23(金) 18:40:27.53ID:SdFXtpeQ
DesignSpark PCBって話題にあまりならないけど
使ってる人はいないのかな?
0036774ワット発電中さん
2015/10/23(金) 19:15:53.60ID:EEfa9v3L
>>35
使ってるよ。RSってだけで叩くやつもいるが俺にはかなりフィットしてる。
0037774ワット発電中さん
2015/10/23(金) 22:15:30.17ID:bDgzLWTO
つい最近まで使ってたがKiCadに移行した
別にRSを好きでも嫌いでもないが最近の動向を見れば叩く人の気持ちも分かる
0038774ワット発電中さん
2015/10/23(金) 22:40:15.47ID:SdFXtpeQ
Eagleはすんなり入れたのにKiCADは全然馴染めない。
何でだろうなぁ・・・。Eagleをこのまま金払って
使い続けるか悩んでるしDesignSparkとかも
今度試してみよっと。
0039774ワット発電中さん
2015/10/24(土) 03:09:08.66ID:siJr1tNJ
OrCAD使っていますが、回路図で、配線済みのICを回転させると、
ICと配線はあっさりと接続を離れます。
仮にくっついて来たとしても、意図しない配線と簡単に繋がってしまいます。

そうではなくて、
一度結線されたら、いくら回転、移動しても接続を保っている、
頼んでもいないネットとは、決して交わらない、
そんなCADってあるでしょうか?
0040774ワット発電中さん
2015/10/24(土) 04:01:26.23ID:9Tagy7aa
OrCADは知らんが
少なくともDSPCBとKiCadではそんなことにはならない
基本的なことだからたぶん使い方が間違ってると思うよ
0042774ワット発電中さん
2015/10/24(土) 10:24:52.74ID:4U0Xtyw3
QFP ICの接続書いて、そのQFP ICを90度回転させ、さらに90度回転させ、さらに90度回転、、、すると、
結線がICから離れませんか?
0043774ワット発電中さん
2015/10/24(土) 10:27:36.56ID:4U0Xtyw3
あっ、上に書いたのは、基板設計ではなくて、回路図書いているときの話です。
0044774ワット発電中さん
2015/10/24(土) 11:36:19.71ID:2fbm21Nx
OrCAD使ってないから分からんけど、ICだけ回転させるから
そうなるんじゃないの?
つながってる配線ごとブロック選択して回転・移動してみたら?
0045774ワット発電中さん
2015/10/24(土) 11:45:45.43ID:Vp5uCIU+
>別にRSを好きでも嫌いでもないが最近の動向を見れば叩く人の気持ちも分かる

どういうどうこうなの?
0046774ワット発電中さん
2015/10/24(土) 11:48:08.21ID:Vp5uCIU+
OrCadの回路図データを読み込みできるFreeのCADってない?
0047774ワット発電中さん
2015/10/24(土) 16:25:20.60ID:GM2e+ZWG
>>38
edif経由ならKiCad もeagleもdspcb も読み込めた気がする。
ってかネットリスト変換ツールとか使えば良いじゃん
0048774ワット発電中さん
2015/10/25(日) 07:06:39.44ID:ScWDDbQi
46は PCBCADにネットリスト読み込むんじゃなくて
回路図CADに回路図読み込みたいんじゃない?
(ないと思うけど)
0049774ワット発電中さん
2015/10/25(日) 10:32:50.36ID:2B4x/Sj/
基板のサイズや孔位置を描いたりするのに2DのCADとかつかうでしょ。
AutoCadで書いていたが、PCを変えたら動かない。FreeでいいCADない?
0050774ワット発電中さん
2015/10/25(日) 12:04:48.98ID:idfIW5Cy
>>49
俺はJw_cad。ちょっと癖があるけど使い慣れると手放せない。
0051774ワット発電中さん
2015/10/25(日) 15:25:59.75ID:Bl1YZquU
以前はJWCAD使ってたけど、最近DesignSpark Mechanicalも使い始めてる。
DXFインポート出来れば言うことないんだけどな・・・
0052774ワット発電中さん
2015/10/26(月) 00:54:13.25ID:BIW7krH2
>>49

DraftSight
0053774ワット発電中さん
2015/10/26(月) 08:51:18.46ID:nT4DsnDa
ベクターワークスもいい。
DXFの入出力できるし、操作性にも癖がない
0057774ワット発電中さん
2015/11/02(月) 09:58:26.70ID:oUCP8paT
指示書に概略を描く程度なら、libre office drawとかdynamic drawとかでささっと描いてしまう。
きっちり位置を指定するならPCB CADで描く。
0058774ワット発電中さん
2015/11/04(水) 00:25:30.58ID:af48M7yg
概略を描くなら、ExcelとかWord図でやってしまう・・・・・軟弱者です、はい。
0059774ワット発電中さん
2015/11/06(金) 11:55:57.80ID:CIP3Jnb7
>51
3Dで設計して3Dプリントすれば、、、、これで何でもできる。
凄いと思っていろいろやってみたんだが、なかなか難しい。それに加えて
3Dプリンタのアウトプットってかなり貧弱な割に値段がやけに高い。WWW
0060774ワット発電中さん
2015/11/07(土) 19:39:27.28ID:2y0RGPeB
>51
DSM使ってみたけど、たとえば基板の穴図を書こうとすると
角から(10,8)に3mmの穴を描くのに補助線を二つ引いて
中心を求めないと描けないね。死にそうに不便。
0062774ワット発電中さん
2015/11/07(土) 23:04:19.90ID:2y0RGPeB
角がグリッドにのっていないと、(10,8)もグリッドに乗らないから
スナップは使えないですよ。
0063774ワット発電中さん
2015/11/08(日) 03:32:33.06ID:qWjezxlw
>>60
VectoeWorksなら簡単だよ。
・円のボタンをダブルクリック。ダイアログで 3 TAB 3 リターン。
・図形の角部にマウスを持っていき「端点」と表示が出たらクリックして円を置く。
・選択状態になっているので、そのまま CTRL+M。ダイアログで、10 TAB 8 と入力。
0064774ワット発電中さん
2015/11/08(日) 04:21:59.07ID:ya6fbGdE
(10,8)がグリッドのらないのか?デフォルトmm 単位なのに?
0066774ワット発電中さん
2015/11/08(日) 21:32:08.34ID:7UMVaiUg
>64
タカチのボックスの穴位置なんて間隔が105.32とかあるからね。mm単位じゃ
だめでしょ。
 ちなみにAUTOCAD 123Dもやってみましたがダメでした。
0068774ワット発電中さん
2015/11/09(月) 11:13:39.49ID:3XaE0jfR
>>60
角を中心とする3mm の円描いて、それを相対値で10,8に移動すれば簡単。グリッドにのってなくても良いし補助線もいらん。
0069774ワット発電中さん
2015/11/09(月) 14:05:44.10ID:gkI5HxbZ
>>60
角を起点とした10, 8の四角書いて・・・で良いんじゃね?
基板取付穴ならどうせ4つ空けることになるから、補助線4本引くけどなぁ・・・
0070774ワット発電中さん
2015/11/09(月) 18:38:21.38ID:3cExkQaN
ない機能に不満を言うより、ある機能を使って効率よく作業する
ことを考えた方がいいんじゃね。
それでも自分に合わなければさっさとソフトを変える。
0071774ワット発電中さん
2015/11/10(火) 03:41:56.42ID:rVIsSWel
>68
そういう手があったか? それいいね。

>70
今までできてたのができないとどうもそこがやけに気になる。
0072774ワット発電中さん
2015/11/10(火) 08:43:29.03ID:rVIsSWel
DSMのoriginって何の役に立つの? 使い方がよくわからない。あまりメリットが
見えないのだけど。
0073774ワット発電中さん
2015/11/11(水) 08:31:40.46ID:PFKyeH60
DSMで中心軸のずれた二つのシリンダーの軸を合わせるのはどうやったらいいの?
0077774ワット発電中さん
2015/11/18(水) 00:10:08.64ID:zPCIDU2p
>>74
こっちはDigi-Keyさんがからんでるのか
RSといいDigi-Keyといい中途半端だよな
所詮中卸の中間搾取業だなって感じ
0078774ワット発電中さん
2015/11/18(水) 03:57:46.07ID:7pIvpPfM
>>77
>所詮中卸の中間搾取業だなって感じ
は?
RSはともかく、Digi-Keyの品揃えと、納期の短さを、
>>77の付き合っている商社で真似できるのか?

あれだけの品数を、優れた検索システムで、3日後に届く。
日本の商社にはできるはずがない
0079774ワット発電中さん
2015/11/18(水) 04:53:08.82ID:AWnt3LcL
>>78
>真似できるのか?
>できるはずがない

で、何が聞きたいの?
0080774ワット発電中さん
2015/11/18(水) 07:43:17.60ID:PL4gYfM6
>>78
残念だがそういうことだな。
もう何年も前になるが、オレの設計した回路のICが入手できない、って
オレの知らんところで大騒ぎになっていた。

元請けでは設計・製造を分離して発注してたんだが、製造の会社
(大手の子会社)が納期のメドがつかんとか言って元請に訴えて
それだけのために対策会議を開くことになった。

オレは直前にその話を聞いて、半導体メーカのHPから在庫情報調べたら
DigiKeyに何百個もあった。
(というより、在庫確認してから設計に採用した)
今でもこういう状況って変わってないのかな?

在庫してりゃ「中間搾取」は正当な利益なんだけど、在庫してなくては
そうは言えないよな? 伝言ゲームにかかる費用ですかね?
0081774ワット発電中さん
2015/11/18(水) 10:19:37.91ID:Wr28kxXE
その通り。

回路設計は、在庫設計とも言われるんだよね。
だからデジキーの在庫を確認しながら設計するよ。

デジキーは、全メーカーと、直接取引だからね。
0082774ワット発電中さん
2015/11/19(木) 06:59:32.71ID:ASgjkD4D
AutorouterをOrCADで使っていますが、Autoroute性能の高いPCB-CADはどれでしょう?
OrCAD以外使ったことがないので、教えてください
0083774ワット発電中さん
2015/11/20(金) 12:15:57.84ID:c/Np0CFM
オートルータなんて使うんだ。
仮配線で部品レイアウトの参考に使う程度の物だと思ってた。
0084774ワット発電中さん
2015/11/20(金) 13:34:14.26ID:yyL7SyxC
オートルーターは、シビアじゃない基板のとき、回路設計からGerber出力まで1日で終わるので重宝しています。電流とか周波数とかのシビアな線だけ手配線してあとはオートルーターで回せば、FPGA使った結構大きい回路でも実用になりますね。
ルーティングを仕事にするなら使えないと思いますが、産業用の自社製品の基板なら実用になっています。
0085774ワット発電中さん
2015/11/20(金) 17:26:13.62ID:kDFZDiPX
なるほどね。いや、嫌味ではなく。
多層板で「繋がってりゃいい」っていうネットは
オートルータ任せっていうのもいいのかもね。

産業用だと耐ノイズとか結構シビアになるから「このネットはこのエリアを
通っちゃ駄目!」とかいうのも色々でてきそうで、オートルータへの
指示が面倒臭そうだね。
0086774ワット発電中さん
2015/11/20(金) 19:48:43.20ID:j/cSoP+z
>>84
DIP部品の頃はそれなりに使えたんだけど、今のオートルーターだと
QFPとかBGA部品でもちゃんとできるものですか?
008782
2015/11/20(金) 20:39:46.41ID:yyL7SyxC
OrCADでQFPとかSSOPとか問題なく使っています。
BGAは1時間くらい前処理が必要ですが、問題なくできますね。
でも奇妙な配線パスとか、ごみのようなパスができ、気になれば
手で修正します。しかし昔のOrCADなので、時々エラーで落ちたり
奇妙な動きをすることがありますね。
0088774ワット発電中さん
2015/11/21(土) 03:21:30.68ID:JATFfjrf
最近の注文は、DigkeyよりMouserの方が多いな。
009082
2015/12/09(水) 16:37:43.86ID:5C6xyfP/
遅レス済まぬが社員ではござらぬ。DOSのころからのユーザーでござる
0091774ワット発電中さん
2015/12/11(金) 14:45:02.94ID:buHQWeR7
altium、ちょっと前まで60万ちょいだった気がするんだが随分上がったな・・・
円安の影響か
0092774ワット発電中さん
2016/01/13(水) 23:07:40.90ID:KetRvgoi
☆ 日本の核武装は早急に必須ですわ。☆
総務省の『憲法改正国民投票法』、でググってみてください。
日本国民の皆様方、2016年7月の『第24回 参議院選挙』で、改憲の参議院議員が
3分の2以上を超えると日本国憲法の改正です。皆様方、必ず投票に自ら足を運んで
ください。私達の日本国憲法を絶対に改正しましょう。よろしくお願い致します。☆
0093774ワット発電中さん
2016/01/25(月) 17:07:54.05ID:/xsyq9p/
DesignsparkPCBに変えたのだが、回路図の階層化ってできるの?
OrCadみたいな感じで階層化したいのだが。
0094774ワット発電中さん
2016/01/25(月) 18:52:42.51ID:dzs43/42
マルチシートには対応してるが階層は未対応
0095774ワット発電中さん
2016/01/26(火) 03:34:08.07ID:fdGTc05f
そうですか? 
マルチシートというのがよくわかりませんが、普通に5枚のシートを信号名で
つないで一つの回路をつくるということですか?
その意味ならマルチシートができないようなCADは見たことないのですが、
2階層以上はできないけども、一階層はできるということをマルチシートができる
というのでしょうか? ふとそんな希望的な感じがしたのですが、、、

階層化ができないということは同じ回路ブロックが3つあるような場合は図面
を3枚用意しないとだめなのでしょうか?
0096774ワット発電中さん
2016/01/26(火) 10:42:12.68ID:rRKQtIlW
>階層化ができないということは同じ回路ブロックが3つあるような場合は図面
>を3枚用意しないとだめなのでしょうか?

図面を3枚用意して、信号名がダブらないようにつけなおすって感じだね
0097774ワット発電中さん
2016/01/26(火) 12:44:28.19ID:BluxpMbX
>>95
質問とは関係ない話だけど、同じ回路ブロックが3つあるような
階層化図面描いたときって、部品のID(U3とかR12みたいなやつ)
は、基板上ではどういうふうにつける(あるいはつく)んですか?

BLK1-U3,BLK2-U3,BLK3-U3 みたいな感じ?
0099774ワット発電中さん
2016/01/26(火) 17:34:04.14ID:fdGTc05f
>>97
Anotateで自動的に配置すると通常はU3,U4,U5のようになってしまいます。
他のU?があると適当にバラバラになります。
扱いはシートが3つあるのと同じですね。だからU3をBLK-U3に書き換えるのは
可能の筈。自動配置しかやったことないので、、、
プリントは3枚でます。
0100774ワット発電中さん
2016/01/26(火) 19:26:02.31ID:BluxpMbX
なるほど、描いた1枚から自動で3シート作り出すってことなのか
0101774ワット発電中さん
2016/01/26(火) 21:11:37.43ID:fdGTc05f
機能ブロックをICを配置するのと同じ感覚で配置していけるので
全体を見通すのにいいです。
だから、TOPシートはブロック間の結線だけをかいて、全体図を書きます。
詳細は各ブロック毎にシートを作ります。
同じ入力回路が3チャンネルあるような場合はICを貼り付けるように
3ブロック貼り付ければ出来上がりです。

TOPシート
 入力CH1
 入力CH2
 入力CH3
 サンプリング回路
 タイムベース
 表示回路
 CPUブロック

入力CHシート
サンプリング回路シート
タイムベースシート
表示回路シート
CPUブロックシート
0102774ワット発電中さん
2016/01/27(水) 06:22:03.78ID:oySz/qUh
C++のclass・・”カプセル化”みたいな感じだね。
同じシートを複数で使いまわすのはインスタンス化か。

複数のシートから新しいシートを起こすのは、”継承”みたいな感じで
同じ種類の機能ブロックで中身を変える(電源ブロックで、
中身を電池にしたり、外部供給+DC/DCにしたり・・)のは
”ポリモーフィズム”的(ちと違うか)?
0103774ワット発電中さん
2016/01/28(木) 10:40:46.66ID:wUjinlcU
カプセル化(階層化)はマルチシートができれば少し工夫をすればできないことはない。
でも1クリックで階層を移動したりと操作性の良さは回路を考えていく上で非常に
楽になる。
 cでもすこし工夫をすればC++と同じようにできる。しかしC++の方が遥かに楽。
しかしJAVAとかC#を使うとC++など使いたくなくなる。
僅かなことだけども上位構造を楽に扱えるかどうかは、規模が大きくなるにしたがって
重要になってくる。
0104774ワット発電中さん
2016/01/28(木) 14:17:51.90ID:7W6/hXYl
階層図面はDOS版OrCADでも使えたから、もう20年以上前から
あったわけだけど、今でも広く使われてるとはいいがたいんじゃないかな?

これは、たくさん同じものを使うような「機能ブロック」っていうのが
普通は規模としては小さいものが多いので、例えば101の例なら
「入力CHシート」じゃなくて「入力シート」の中に3チャンネル分
描けることが多いし、そのほうが見通しがいいことも多いからだと思う

例えば、入力信号コネクタ → 入力CH1,2,3 みたいなとき
一つのコネクタにつながってる回路が3枚のシートに分かれているとかは
実際のプリント基板と対照するときなんかに、すごく面倒になる
0105774ワット発電中さん
2016/01/28(木) 19:29:26.23ID:Knzns5/p
階層化は結構使うけどなぁ。

全部同一階層っていうのは、プログラムで言えば、GOTOで飛ばし
まくるようなやり方かな。
まぁ、ごく小規模なプログラムならそれでも別にいいようなのと同じで、
1シートで全部入ってしまう程度の規模ならあえて階層化しなくたって
良いだろうけど。

シート間接続信号が増えてくると、階層化してどれがどこに行くのか
明示してあげるほうが親切だし、見通しが良くなるし、思わぬ間違いも
減ると思うわ。
0106774ワット発電中さん
2016/01/29(金) 00:23:30.85ID:HoiYkeK3
確かに単純なマルチシートだと、ローカルなラベル(ノード名)という
概念がなくて全部グローバル
よそのシートに繋がってるラベルの行き先も明示されない
(CADによって色々かもしれないけど)

でも、繋がってる先は手作業でコメントつけるとか、名前に工夫すれば
できるわけで、これを(任意の)手作業じゃなくて、モジュールポート
作らせて一旦上の階層に持ち上げてから更に別のモジュールに接続するのと
どっちがどういうメリットあるのか、結構議論のしどころでは
ないかと思うんだよね

こういう、どう記述すべきかっていう議論が、ソフトでは盛んにあるんだけど
ハード(回路)ではほとんど見かけないのはなんでなんだろう?
0107774ワット発電中さん
2016/01/29(金) 13:59:43.04ID:33ZTl5NA
>でも、繋がってる先は手作業でコメントつけるとか、名前に工夫すれば
>できるわけで、
GOTO文だらけでも「飛び先のラベル名を工夫すれば・・」というのと
同じようなものかな。工夫で何とか片付いているうちはいいんじゃない?
別に対立したり、敵対するものじゃないから、議論もなにもなくて、
単に「便利なときに便利なように利用する」っていう類だし。

少なくとも、「ラベル名を工夫する」なんていう余計な労力を使わずに
分かりやすいラベルをつけて、貼り付けて上位層で結線すればOKって
いうのは楽でいいんじゃない?
0108774ワット発電中さん
2016/01/30(土) 10:21:53.34ID:pBqvHZVw
>>103
Javaって多重継承できないんだよね。ゴミ。
0113774ワット発電中さん
2016/02/01(月) 10:07:50.70ID:AkXpEuNQ
>実際のプリント基板と対照するときなんかに、すごく面倒になる

回路図を書くときは便利だけでどデバッグするときは不便ってことはよくあるな。
自分も階層化をよく使うので、回路デバッグを意識して書くようにしてる。
資料なんかも回路図に加えておいた方がいろいろ便利。だからPDFから画像を切り出して
回路に貼り付ける。
0114774ワット発電中さん
2016/02/02(火) 19:50:13.83ID:vNgMiiSP
>>101
初心者スレまで、ヒューズの正解解説しに来て。ああいう、書きっぱなしは良くない。
0115774ワット発電中さん
2016/02/03(水) 00:46:37.24ID:yZ53SlTg
>>101
各スレに、さっき荒らしのカキコしたのもおまえか。ここだけ避けて。巡回先が、固定しすぎでバレバレなんだよ。
0116774ワット発電中さん
2016/02/03(水) 03:32:59.67ID:HphR761L
階層図面ってなんだろ?
(ばらす作業が図面書きかと)
0118774ワット発電中さん
2016/02/07(日) 08:15:04.41ID:JSjuAVlW
ここはにちゃんねる〜♪
何でも聞いたら答えてもらえると思うなよ〜♪
0119774ワット発電中さん
2016/02/07(日) 09:30:06.16ID:t3t8FFri
>>117
どっちかっていうとあんたの書き込みの方がガキっぽく見える
0120774ワット発電中さん
2016/02/07(日) 11:17:58.21ID:KuQaS25G
>>118
聞いたんでなくて、否定しっぱなし。

>>119
本人ですか? 言いっぱなしでなく、説明しろよ。
0121774ワット発電中さん
2016/02/07(日) 11:38:11.76ID:KuQaS25G
634 774ワット発電中さん sage 2016/01/28(木) 12:57:50.61 ID:1jYFahO/
>593が出てきて、模範解答すればいいんでないの?
ただのあおりではなく、電気の自営で食ってる人みたいだから。

http://hissi.org/read.php/denki/20160126/ZmRHVGMwNWY.html
0123774ワット発電中さん
2016/02/08(月) 10:36:26.28ID:ztBIqw7u
>>101

ここでは長文で真面目を装い、やり取りを期待した馴れ合いをするくせに、初心者スレには初心者を小バカにしたウソの書き込みをして放置。
そして答えられないくせに、「ここはにちゃんねる」と逃げて開き直る。

自分がわざわざ蒔いた種は蒔くだけ、大便した自分の尻も拭かない。こういう大人にだけは絶対になっちゃいけない好例を、しっかり見させてもらった。感謝する。
0124774ワット発電中さん
2016/02/08(月) 13:55:24.02ID:toBe1jiV
答えてもらえないと暴れるとは
チンピラ並だな。
で、何がわからないのか、ちゃんと書いてみな。
0126774ワット発電中さん
2016/03/18(金) 03:20:18.68ID:39hOkhUA
未だに、OrCADって、使われているのでしょうか?
日本の代理店がコロコロ変わるので、人気が無いのかなと。
0127774ワット発電中さん
2016/03/18(金) 19:43:58.18ID:3uaydBN/
どうなんだろ
ある程度以上の企業だと「定番」で入ってて毎年保守料金払ってる
ような気もするけどな
0129774ワット発電中さん
2016/03/18(金) 23:01:11.34ID:3uaydBN/
>>128
発注元から「図面はOrCADで描いてそのファイルで納入してくれ」ってこと
あります?
0130774ワット発電中さん
2016/03/19(土) 04:22:31.43ID:8HKYRpCT
>>128じゃないけど、たまにそういうことある。
でも、自分で作ったかっこいいライブラリは全部外して、
LTSpice純正でやってくれ
0131774ワット発電中さん
2016/03/19(土) 21:33:36.04ID:HIEtfGAO
>>129
まあ、そんな感じ。
客も設計をやっていて、そのやり取りに必要。
まあそうじゃなくても他のツール知らなくて結局 OrCAD 使いそう。
0132774ワット発電中さん
2016/03/21(月) 01:47:53.88ID:xzDs/hxA
お客さんの書く回路図って、どんな感じでしょうか?
A4 1枚に、OP AMP 1個の回路とか、ページオフコネクタで飛ばしまくるとか、
そういうのありますか?
0134774ワット発電中さん
2016/03/29(火) 13:19:26.46ID:eO8zNDv8
ORCADで設計したのを基板化するのに、PIN数と数えられる?
基板を出そうとするとPIN単価で言われるんだが、カウントできないのだろうか?
0135774ワット発電中さん
2016/03/29(火) 15:23:53.91ID:x/RRnrLD
標準でピン数を数える機能があるのかどうかわからないけど、
プロパティ(だっけ?,部品をWクリックすると出てくる表みたいなやつ)の
属性にピン数とかを追加すれば、何でもあり。
ただし、部品登録時に数を入力しておく必要あり。
タクトスイッチなんて、電気的には2ピンだけど、4つの足で4ピンと計算する会社もある。
さらに、取付ブラケットまでピン数にする業者もあり、お金儲けに必死な感じ
0137774ワット発電中さん
2016/04/04(月) 09:42:00.04ID:wXgercCG
昔は500円くらいだった。
今は300円くらいかな
0139774ワット発電中さん
2016/04/05(火) 04:09:00.22ID:qREnkEpE
ピンいくら、っていう商売は美味しいよね。
FPGAなんか使った日には、一気に400ピンとか行く。しかも電源が半分あるので、
400ノードじゃなくて、200くらいの配線量。
しかも、層数を節約すると外周部だけ使って、中央部にノンコネが大量発生。
実際に接続するパターンは少しなのに、400ピンとか請求。
0142774ワット発電中さん
2016/04/18(月) 20:53:15.31ID:GEoJAOSW
>>123
お前は2ちゃんに何を期待してるんだ?
昔から「嘘・紛らわしい・適当・いい加減」が入り乱れてる場だぞ。
0144774ワット発電中さん
2016/04/20(水) 19:38:55.11ID:vJc5L9EW
>>134
 ネットリスト出せば Session Log に出ますよ
 基板設計出すにはデザインルールチェックもかけておいてねー
 by 基板設計屋
0147774ワット発電中さん
2016/04/22(金) 03:04:50.74ID:vTl8OUtP
オカレンスって、OrCAD Captureで、しょっちゅう出てくる。意味がわからん
0148774ワット発電中さん
2016/04/22(金) 21:38:50.82ID:Slj7a5O3
OALDによると
occurrence:
[C]something that happens or exists
[UC]〜(of sth) the fact of sth happening or existing.

ということだそうな。
0149774ワット発電中さん
2016/05/07(土) 14:17:01.36ID:Z/ICyXu/
パッシブボードでピン数見積りするのはズルい
0151774ワット発電中さん
2016/05/21(土) 01:16:00.45ID:KAQ94mJ3
あすまん
バックプレーンやライザーカードみたいなやつ
わかってたくせにw
0152774ワット発電中さん
2016/05/21(土) 04:09:26.17ID:/u0e0CTl
>>149
ピン数見積は、全部ズルイと思うよ。
アングルのSMAコネクタは、同軸なので、2極ですけど、GND 4品も入れて、5品ですよ、5ピン。
0153774ワット発電中さん
2016/05/22(日) 02:18:53.00ID:opEFSWYp
それを言うなら、BGAなんか、電源ピンだけで、大変な数ある。
・過去に他のお客の仕事で作ったライブラリを使い回して、置くだけでピン数カウント
・「途中で見せて」と言っても「出来ました、という状態」までやって来てしまう。
・何か希望を言うと「やりますけど、納期延びますよ」と殺し文句を言う
・動くかどうかわからない状態だけど、納期り関係で「OKです」と言うと、
  後で何かが起きても「OKをもらったから責任ないです」の一点張り。
・動作するかどうか、基板屋さんの腕にかかっているのに
  「繋がっていればOK」という基板設計。DCなら動くだろうね、きっと。
・そして設計したら、すかさず請求書が来る。
  こちらは、動くまで面倒みて、納品してから、翌月か手形3ヶ月後にお金が来る
  でも、基板屋さんには翌月支払う。
・会う度に「BGA 10個くらい載った基板やりましょうよ」と言う。

手離れの良い仕事、基板設計屋。
0154774ワット発電中さん
2016/05/26(木) 15:59:26.53ID:fmuCjeYp
まあ、基板設計屋さんにも言い分あると思うぞ
元々、【ピン単価xピン数】って見積もり法自体が設計に要する時間を
反映してるとは言えないわけだし
0155774ワット発電中さん
2016/05/29(日) 03:08:58.76ID:ieSb8ECX
でもそれって「ピン単価」という魔法の言葉が入ってる
0156774ワット発電中さん
2016/05/29(日) 11:18:45.10ID:FxoIxiK9
プリント基板の設計〜製造の見積りに細かく標準原価計算を採用するとこうなる。
このやり方はソフトウェアでも流行したな。ステップ数だの行数だの・・・。
0157774ワット発電中さん
2016/06/02(木) 21:58:46.66ID:EVHpwOaY
完全に同じ回路・同じ部品使用でも、基板面積を倍にするのと
半分にするので設計難度が全然違ってくるのは容易に想像できることだから
そういうパラメータも入れないとな
0158774ワット発電中さん
2016/06/09(木) 18:45:44.42ID:tKPWqXiY
>>157
普通発注すると面積大きくすると高くなるけど同じ層数でも大きい方が安くなることあるのかねぇ…
0159774ワット発電中さん
2016/06/09(木) 21:53:39.47ID:Fw9qFSFW
大きくしてデザインルールが緩和されるなら安くなることもあるだろう。
0160774ワット発電中さん
2016/06/15(水) 09:13:04.52ID:jCO9ted/
BGAがギュウギュウ詰めに載ってる基板と、その4倍くらいの
面積の基板と考えて見たら分かる

・・・てか、ギュウギュウ詰めに載せても設計可能なもんだろうか?
ベリッドVIAで層数制限なしにすればできるのかな
0161774ワット発電中さん
2016/06/24(金) 01:54:54.21ID:FumoOtN4
配線密度はともかく、配線長は短い方がトラブル少なそうな気がする
0162774ワット発電中さん
2016/06/24(金) 21:31:59.49ID:U3TaFmqq
ギュウギュウ詰めって言っても
↓こんな感じだと、全然普通にできそう
■_■_■
_■_■_
■_■_■
_■_■_
■_■_■

■■■■
■■■■
■■■■
■■■■
↑これみたいにホントにギュウギュウだと
viaはBGA各ピンの引き出しviaと重なった位置にしか打てないから
設計恐ろしいことになりそうだ
0166774ワット発電中さん
2016/07/02(土) 04:14:06.44ID:+IMAXzta
KiCADに押されている感があったが、Autodeskの力で逆襲なるか
それと、UIやドキュメントの公式日本語化も期待できそうだな
現状は公式サイトが英語すらサポートしてないなかなかローカルな在り様だ
0167774ワット発電中さん
2016/07/02(土) 11:03:31.43ID:yAdIcTDW
いろいろと制限がある時点で Eagle に勝ち目はないね。
それから、回路図のシンボルとフットプリントが紐付いてるのがすごく面倒なんだが、
最近は変わったのかな?
0168774ワット発電中さん
2016/07/02(土) 19:56:15.71ID:APhQJjPk
紐付いてないととてつもなくめんどくさいと思うんだが、
もしかして連携できないのがあるのか?
0169774ワット発電中さん
2016/07/02(土) 20:09:25.92ID:xHldj2GD
>>168
KiCad使いだけど、
>シンボルとフットプリントが紐付いてる
を読んで逆に驚いてた。
回路図の段階でDIPを使うか面実装にするか意識しながら書くの?
DIPで書いてあとから面実装に変えるときとか面倒でない?
0170774ワット発電中さん
2016/07/03(日) 00:34:51.58ID:ZplDwzKR
EAGLEは、編集を終えた回路図から幾つかの基板構成のバリエーションを作成するとか、
抵抗をスルーホールからSMD、MOSFETをTO-220からTO-3に後で切り替えたい。
ということはできない。パッドやランドの形状を変えることもできない。
なぜかというと、部品ライブラリのデバイスをソフトウェアプログラムで言うところのスタティック
リンクで取り込んでいるからだ。
あと、回路図と基板のデータは相互に変換できず、内部的には同時に両方を編集することで
あたかも相互にリンクしているかのように見せかけている。
0171774ワット発電中さん
2016/07/03(日) 01:20:02.90ID:b6oEj/8L
ふうん、何か難しそうですね。
そうするメリットってあるんですかね。
つまり、逆にKiCadではこういうこと出来んだろうみたいな。
0172774ワット発電中さん
2016/07/03(日) 01:31:28.97ID:eMLNF0Wo
メリットないんじゃないの?他の商用CADもほとんどKiCad方式だし。ってかEagle形式がレアなんだよな。
0174774ワット発電中さん
2016/07/03(日) 02:33:32.38ID:Vq/i2pRF
オレオレ基板作りたくて対応してる基板屋が多そう
という意味でつぶしがききそうなEAGLEでも
買ってみようと思ったけどなんかイマイチなのかw
まずは何か一つに絞って勉強しながらやっていこうと
思ったんだけど基板発注までを考えるとどれが
良いんだろうか・・・
0175774ワット発電中さん
2016/07/03(日) 03:06:54.52ID:4Du7MCsN
Eagleのマウス操作って、慣れられない。
0176774ワット発電中さん
2016/07/03(日) 10:47:58.95ID:NfvEh5zt
何言ってんだ...
> 抵抗をスルーホールからSMD、MOSFETをTO-220からTO-3に後で切り替えたい。
eagleで普通に出来るけど。

むしろトランジスタのECB/BCE/EBC違いでデータシートひっぱりださなきゃいけないとか
SOT-23でのピン配逆回りのやつとかSOIC-8の左右逆とかに当って死にたくない。
0177774ワット発電中さん
2016/07/03(日) 14:11:49.07ID:jxyGBt6b
>>176
それはデータシートを見ないヤツが悪い。
CADの機能の話しと無関係。

で、>>170>>176はどっちが嘘つき?
0178774ワット発電中さん
2016/07/03(日) 15:57:24.29ID:ZplDwzKR
>>176
元と同一のシンボルを使って別のフットプリントと組み合わせ、デバイスを登録した
部品ライブラリを作っておかないと駄目じゃん。
他のライブラリで登録しておいたフットプリント(パッケージ)を外部参照できないのが痛い。
0179774ワット発電中さん
2016/07/03(日) 15:58:49.93ID:KBkiiT4C
ライブラリで複数のPackage登録しておけば、Change Packageでいくらでも変更出来るけど・・・?
0180774ワット発電中さん
2016/07/03(日) 16:01:57.10ID:KBkiiT4C
回路図作成後でも他ライブラリからパッケージをCopy to Libraryしてupdateすれば問題なく。
0181774ワット発電中さん
2016/07/03(日) 16:37:04.29ID:ZplDwzKR
ライブラリ毎に何種類ものパッケージの作成・登録、シンボルとの紐付けが要るってことが手間なんじゃないの?
例えば同じSOIC8パッケージを、オペアンプの型番毎にあちらこちらのライブラリに作成・登録しなきゃいけない。

あと、EAGLE同梱のライブラリには、ライブラリ作成者の違いにより、似て非なるシンボルやフットプリントで作られた
TO92パッケージ部品が点在している。トランジスタはいくつもの型番がまとめられているので、書き換えてUpdateすると
そのライブラリに登録された別型番のトランジスタにまで影響してしまう。
そこで型番毎にライブラリを分けて作り直すと、型番毎にパッケージの再作成(他からのコピペはとても厄介)が要る。
ライブラリ編集ツールは、複数のライブラリを同時に開けない!

とにかく手間!
0182774ワット発電中さん
2016/07/03(日) 17:00:10.46ID:Mm5o0r7D
取引先の社内規定で新JISシンボルの回路図要求されたらどうすんの?全ライブラリを修正すんの?面倒だろ?

コンポーネントとシンボルが別ファイルだと一つのシンボル修正ですむ。Eagleではそうはいかない。
0183774ワット発電中さん
2016/07/03(日) 18:09:31.58ID:KBkiiT4C
ない、ない。

そういう厳格な会社があるとしたらCADソフト込みで指定してくるし、
中小ならそういったところと直接やりとりしないし、
大企業なら、一介の作業者にそもそもCADソフトの選択権はない。

そんな杞憂してるヒマあったら、KiCADなりEAGLEなり色々試して自分に合うの探すべき。
0184774ワット発電中さん
2016/07/03(日) 18:14:07.57ID:ZplDwzKR
何年もEAGLEを使い続けている俺がライブラリで手を焼いてイライラするくらいだ。間違いない。
自作ライブラリ部品、100個以上作ったっけ。もういやだ。
0185774ワット発電中さん
2016/07/03(日) 18:23:59.29ID:KBkiiT4C
えっ?もっと千個単位とかで管理しきれずに音を上げてるかと思ったら・・・100個程度で?
0186774ワット発電中さん
2016/07/03(日) 20:48:20.87ID:VZnS7NZI
つまりEAGLEオワコンってことか。
>>185が引導を渡した形になってスッキリしましたね。
0188774ワット発電中さん
2016/07/03(日) 22:18:38.55ID:Uf0vK8nU
フリーのKiCadが有料ソフト並みの機能になっちゃった時点で
Eagleは勝ち目ないよ
早くから無償版があったというだけで、特に使いやすいとかだったわけじゃないし
0189774ワット発電中さん
2016/07/03(日) 22:27:56.56ID:Mm5o0r7D
>>183
は?欧州系メーカーに納品する回路図だと新JISシンボル当たり前よ。厳格でも何でもねー。
国内向けのmil記号と海外向けのJIS記号、使い分けしているが、eagleみたいなライブラリ管理だとメンテ大変よ。正規化されてないデータベースみたいで。住所録で郵便番号や県名を全部手打ちしてるみたいなものよ。「コピーすればできるよ」って話じゃねーの。
0191774ワット発電中さん
2016/07/04(月) 11:22:39.09ID:N56qPLdp
Target3001!とか使ってる人いないの?
フリー版の制限とかEagleと同じようなもんだと思うけど
(トラ技の先月号付録DVDに入ってるやつだと、両面400ピンまで)
0192774ワット発電中さん
2016/07/05(火) 03:31:51.68ID:SdpPKanr
OrCAD使ってる日といないの?
0193774ワット発電中さん
2016/07/05(火) 07:08:56.05ID:5auvO6yR
自由の意味でのフリーなソフトに十分な実用性がある中で、
無償の意味だけのフリーなソフトを使うのってどれぐらいの意味があるのだろう。
と、ちょっと偏った質問を>>191にしてみたい。

使いやすそうだから、でも、十分な理由なのですが。
0195774ワット発電中さん
2016/08/18(木) 17:59:56.39ID:+PsP+RR6
PSデータをPDFデータに変換するソフトってAdobe Proがベスト?
フリーのPDF24とかもあるけどPDFデータに印刷とかって謳ってるのって、
精度や倍率なんかに不安があるんだよね・・・
ワード変換用に使ってるCube PDFはなんか汚くなる。
客に見せる図面用だから、ゴミが入ったり、倍率が狂ったりは問題外。
でもAdobeって高くって・・・会社の5台くらいに入れたいんだけどオススメない?
0196774ワット発電中さん
2016/08/18(木) 19:33:25.48ID:957Y5oUR
出力専用PC導入してその1台に入れておけば良いんじゃね?
0197774ワット発電中さん
2016/08/19(金) 08:44:52.93ID:t6kPrxr8
やっぱりそうなるよね。
今もそうしてるんだけど、WinMEにAdobe Pro6.0が入ってる始末で・・・
直接ネットには繋がってないんだけど、社内LAN経由では繋がる訳だから流石に怖くて。
0200774ワット発電中さん
2016/09/01(木) 15:08:55.36ID:O9bx4qcb
HPGLデータをDXFデータに変換するソフトでオススメありますか?(出来たらフリーソフトで)
もちろん寸法がちゃんと測れるデータになってることが必然なんですが・・・
0201774ワット発電中さん
2016/10/10(月) 18:59:53.38ID:eSYzpTpi
OrCAD 回路図 で教えて下さい。

部品(シンボルライブラリ)の記号を変更するには、
どのようにしたら良いでしょうか? 以下のような場合です。

集積回路のライブラリがすでに作成してあり、
U1, U2, U3...と「U」で登録してあるものを
IC1, IC2, IC3...と「IC」に変えたいのです。

あるいは、
ライブラリ作成の最初のダイアログで「U」になっているのに気づかずに
ライブラリの作成を始めてしまって「しまった! ICだった」と気づき、
変更したいという場合です。

作成後のライブラリの、プロパティ画面で変更しても許してくれません。
作成途中に気づいて、作成画面上の「U?」の文字をダブルクリックして
ICに変えようとしても受け付けません。

どなたかご存じの方、教えて下さい。
0202774ワット発電中さん
2016/10/10(月) 19:48:53.73ID:Ej03/RYF
>>201
上のメニューからプロパティを開いて変更する
でも、回路図条件で直せばいいじゃない
0203774ワット発電中さん
2016/10/19(水) 02:27:31.95ID:VvjmKEnc
OrCADのバージョンが上がるね。
今どきOrCADを使う会社も少ないのかな。
0205774ワット発電中さん
2016/10/19(水) 12:30:34.82ID:laexxhVf
>>204
ありがとうございます。
標準と言っても、スマホや携帯電話の回路設計、アートワークも
みんなOrCADでやっているのでしょうか?
0207774ワット発電中さん
2016/10/19(水) 21:48:08.53ID:laexxhVf
CR-5000はわかりますけど、OrCAD回路図って書きやすいですか?
余計なお節介が多すぎるイメージ
0208774ワット発電中さん
2016/10/20(木) 04:06:12.64ID:UGutwvD6
>>207
使い易いか?
俺、BSch→CRー5000SC→OrCADCapと移ってドップリ。その後はデータ参照にEagleやAltium、時々LTspice。

BSchはすっごく分かり易かったけど、その他は似たり寄ったりw
OrCADはチュートリアル見て、1日で使えたから取っつき易い側。

使い易いか?多分14年位使っているから、今となってはめちゃくちゃ使い易いw
左手でキーボードショートカットキーを叩きながら、右手でマウスグリグリの楽チン。
大量にある信号名の編集なら、カーソルキーでマウスカーソルが動くから、キーボードだけで編集出来て楽チン。
ライブラリや部品情報も、エクセル併用で一括編集で楽チン。

半導体メーカー提供もOrCADデータが多いし。紙1枚の回路図だとEagleが出てくるけどorz
外部委託もOrCADを持って無い会社は無いし。

つまりとっても使い易い(汗)
0209774ワット発電中さん
2016/10/20(木) 13:05:34.80ID:hQYqBxwJ
ライブラリ作って図面上に置くと、ピン番の文字位置が、変な位置に行かない?

ライブラリ作成のとき、文字を選択したいのに、線が選択されたり、
線1本だけ選択したいのに、2本とも選択されたりしない?

バス線にいろいろな信号が入れられないよね。
例 D7 D6 D5 D4 D3 D2 D1 D0 /RD /WR CK が束ねたいんだけど、
バスに乗らないですよね?
0210774ワット発電中さん
2016/10/20(木) 13:08:55.41ID:hQYqBxwJ
連投すみません

スナップグリッドの値を2.54mmのときはいいけど、
1.27mmにすると、印刷用紙サイズが1/2倍になりません?

1.27スナップで、A3サイズの用紙を選んで回路図書く
印刷するとA4で印刷される。
0211774ワット発電中さん
2016/10/20(木) 14:09:53.09ID:K5DmPo6h
>>209
> ピン番の文字位置が、変な位置に
見たことないなぁ

> 文字を選択したいのに、線が選択
選択はクセがあるね。
線を移動して文字選択。編集したら元に戻す事もたまにするかな。

> バス線にいろいろな信号が入れられないよね。
慣れたw
バス線を書こうが書かまいが、回路に関係ないから。

>>210
> 1.27mmにすると、
それ、ローカルならいいけど人に渡すのは止めてね。
そこは触らず、用紙サイズを大きくするのが普通。
0212774ワット発電中さん
2016/10/20(木) 14:40:44.68ID:hQYqBxwJ
ありがとうございます。

>選択はクセがあるね。
>線を移動して文字選択。編集したら元に戻す事もたまにするかな。
ライブラリ作成の中では、Undoが1回しかきかないので、
イライラします。俺の思い通りに掴ませて欲しい。

>>バス線にいろいろな信号が入れられないよね。
>慣れたw
>バス線を書こうが書かまいが、回路に関係ないから。
まさか、全部ネットエイリアスで飛ばして、線を引かない人ですか?
それじゃまるでどこかのFPGAボードですよ。
バス名=ネット名で、末尾に数字しか許さない。なんででしょうかね。

>> 1.27mmにすると、
>それ、ローカルならいいけど人に渡すのは止めてね。
>そこは触らず、用紙サイズを大きくするのが普通。
設定をカスタマイズしないで、って聞こえるけど、それ言い出すと、流用性がなくなってしまいますよ。

2.54mmの1/2のピッチで線が引きたいことがよくあります。
LVDSなどのペア線とか「差動信号ですよ」と表現したいです。
0213774ワット発電中さん
2016/10/20(木) 17:36:14.50ID:K5DmPo6h
>>212
> イライラします。俺の思い通りに
ごめん、14年も使って慣れたのと自前ライブラリも蓄積出来てるので(汗)

> まさか、全部ネットエイリアスで飛ばして、線を引かない人ですか?
OrCADの制約の範囲で束ねてる。
なので制御信号はバラバラのままw

> LVDSなどのペア線とか「差動信号ですよ」と表現したいです。
理由は分かったけど、その時はグリッド合わせをオフして、ミリミリと寄せるのが少し正解。
正しいのは、どこぞのブロパティで差動信号である事を指定。ネットリストは情報が欠落しないフォーマットを選択してAW屋さんに渡す。

次善策は寄せずにペア信号を丸でくくって、コメントを書き込む事。線を寄せて、AW屋さんの>>気付き<<に任せるのは賛成しないな。
0214774ワット発電中さん
2016/10/21(金) 02:22:43.31ID:L/X71M9Y
アートワーク屋さんが見てくれることを意識していません。
お客が見て「おっ、わかりやすい回路図だ」と思われたいし、それが回路設計屋の成果物だし。

オフグリッドも使いますけど、部品を移動したら、もう2.54のグリッドに戻ってしまいます。
妙な位置で移動を止めると、関係のない線でも「勝手に」接続するし。
楕円で囲うのもやりましたが、スペース効率が悪いと思います。
たとえば、3ペアのLVDSなどは、
-------
-------

-------
-------

-------
-------
と、間にスペースが入れば、楕円を使用らずとも3組であることが「一目で」わかります。
楕円だと、楕円のところまで読者の視線が移動しないと、ペアが区別されません。

バスに関しては、異なる信号が同一バスに混在できないので、
思いっきり小さい文字でネット名を置いてxx[0:7]とかで全部繋いで、
通常の文字のサイズの「文字」で、自分の目的とする信号名を置いています。
だからそれで入れ違いになって、出来上がった基板がミスしていることもありますので、
検図には大変気を遣います。
どこかのCADだとバスではなく「ハーネス」という呼び名で異なる信号も混ぜられます。
OrCADにできないハズないんですが、やる気ないみたいですね。

領域選択も矩形しかできないですよね。矩形選択だと、関係ないものまで仲間に入ってしまうので、
あとからCTRL+クリックで仲間外れにするのですが、クリックの時に少しでもマウスが移動しようものなら
選択部品がcopyされて、二重になってしまいます。ヤバイ!と思ってundoすると、
undoはするのですが「オイオイ、そこまで戻るのかよ!」というくらい最初に戻ってしまいます。
しかたないので、再度選択をします。
そんなときに多角形選択ができたなら、どんなに便利だろうと夢見ています。

ま、回路図なんて「ネット生成器」としか捕らえていない人が多いので、Cadenceも改善はしませんよね。
0215774ワット発電中さん
2016/10/21(金) 06:06:55.16ID:5qYKKuAb
>>214
> お客が見て「おっ、わかりやすい回路図だ」
AW屋さんが気が付かなかったら、客も気が付かないよ。

TI・Freescale・Altera・Microchip・その他、OrCADで参考回路図の提供は沢山あるし、設計屋も沢山いる。
差動信号の書き表し方も業界標準的な書き方があるし、それは誰もが分かりやすい。

これが分かりやすいとあなたが考えても、所詮独自路線。それ、独りよがりかもよ。

> それで入れ違いになって、出来上がった基板がミス
ごめん、アホだと思ってしまった。
分かりやすくしようとして綺麗に書いて、でもそれが実は落とし穴で、検図を難しくして基板をミスる。
本末転倒じゃん。

客が求めているのは、出来上がった基板の品質。回路図はその手段。
手段が目的化してない?
0217774ワット発電中さん
2016/10/21(金) 08:28:33.76ID:G1rjIRwi
>>214
細かな所

> 妙な位置で移動を止めると、関係のない線でも「勝手に」接続するし。
ごめんね、ミスるやり方をしているとしか思えない。
ミスしにくいやり方や書き方、ミスを見つけ易い書き方が最優先。分かりやすい書き方はその次。

> 楕円で囲うのもやりましたが、スペース効率が悪いと思います。
差動信号は、今やシステムの要になる信号。それに多くても4ペアくらいなもの。4グリッドけちる事に意味あるの?

それよりも1m離して見て、そこに差動信号があるのが分かる位の自己主張させるべき。
その逆で半グリッドに詰めるとか、目立たなくしてどうする?

> 間にスペースが入れば、楕円を使用らずとも3組であることが「一目で」わかります。
甘い!!
世の中にはDCカットのセラコンを入れるため、ペアの中を空け、ペア外を詰める変な書き方をする人がいる。
こっちにはいい迷惑、だから明示的に円で囲うんだよ。

> そんなときに多角形選択ができたなら、
つまりブロックの切り分けが出来ていなく、隣と部品や信号が入り乱れた>>分かり難い<<回路図を書いている訳だ。
ツールのせいにする前に、書き方を見直すべきだよ。

ごめんね、聞けば聞くほど独りよがりに思えてしまう。
0218774ワット発電中さん
2016/10/22(土) 01:50:58.47ID:9QCLIqL/
大きなシンボルを使い、何枚ものページで構成されている回路図は、見にくいと思う。
0219774ワット発電中さん
2016/10/22(土) 05:40:40.54ID:FLkmnqp7
>>218
それ嫌い。
見にくい上に信号がちゃんと接続されてるか、チェックしにくい。

ホスト側を左、デバイス側を右に置き、ど真ん中は信号線。1枚で完結してるのが好き。
0220774ワット発電中さん
2016/10/22(土) 17:29:40.19ID:0VWUJrzf
>>219
>1枚で完結してるのが好き。
激しく同意。
少し詰めれば入るのに、あと少しのことで2枚になる回路図。
図面書く人超多忙なのか回路図に思い入れが無いかのどっちか。

センスの欠片もない90度線の抵抗など、デフォルトのシンボルが、
デカ過ぎるのも大きな要因。
0221774ワット発電中さん
2016/10/22(土) 21:56:13.70ID:qq18TV/l
>>220
> 少し詰めれば入るのに、
そうそう
思い入れが無いと言うより、どんな書き方をすればチェックし易いか、考えて無いに一票。
あとあるのは「回路が追加された時に、部品追加しやすいから」てな理由。
回路図書いている時に仕様変更とか、そんなのその前の段階で潰しておくべき問題。

> デフォルトのシンボルが、デカ過ぎる
改版とか参考にするとき、自前ライブラリの入れ換えから始めるw
入れ換えたら少しづつグリッドを詰めて行って…

正直メンドイ。
でも、見通しが悪くて見逃して、それでデバッグに苦労したくないし
0222774ワット発電中さん
2016/10/23(日) 03:59:39.96ID:vxDfyLcA
どこかの会社の社用箋に「1枚がベスト」というのがあったね。
回路図を見ればその設計者がわかるよね。
僕は、昔のテレビの回路図がベストだと思ってる。そう、テレビの裏蓋にひっかけてあった回路図。
A2サイズくらいあるけど、1枚に全部の回路が載っていた。信号がとても追いやすい。

お客の回路図で酷かったのは、
A3用紙にOP AMP 2つと抵抗パラパラで、図面名「アンプ2」だって。
信号はオフページコネクタで飛ばしてある。

回路図CADをネットリスト作成器と勘違いしてるメーカーもある。
ネットエイリアスで飛ばしまくり。何がどこに接続されているのかサッパリ。
信号名の文字だけで接続先を探すけど、何カ所に繋がっているかわからないので、
全ページ、全部の信号名を確認しなければならない。
どうかしてるぜ、設計者。下流の俺たちの身にもなってくれよ。
0223774ワット発電中さん
2016/10/23(日) 05:54:13.70ID:kAhE9yP9
>>222
ここまで来ると、意見の相違があるな。

ブロック毎に1枚に完結しているのを好む。が、規模にもよるが全回路図が1枚なのは、整理されてなくて逆に見にくいや。

A2サイズも印刷はA3、流石にOrCADの7ptだと字が小さ過ぎ。
あとA2だと、画面上でスクロールを多用しないと見通せないし

> ネットエイリアスで飛ばしまくり。
オフページコネクタを追加して見てる。
ただそれがpdfだったら、同じく泣くw
0224774ワット発電中さん
2016/10/24(月) 02:54:07.01ID:Zo0yECjh
回路図には、設計者の技量が出るよね。
0225774ワット発電中さん
2016/10/24(月) 14:55:10.65ID:B+wTIvH5
ノートPC程度の回路図だと、何ページくらいになるのかな。
100ページくらい有るんだろうか
0226774ワット発電中さん
2016/10/24(月) 15:15:18.90ID:OU9aOds3
人生で初めて「回路図の書き方論」戦わしてるの見て感激!
雑誌とかブログでもこういうことに持論書いてる人ってあんまり見たことない
ソフトなら、Cの{}とインデントの話で宗教戦争勃発するのに何でだろ?
0228774ワット発電中さん
2016/10/24(月) 19:18:45.93ID:B+wTIvH5
>>226
本当は、書いた回路図をupしたいくらいだけど、さすがにそれは_。
回路図は、ハードウェア技術者の成果発表会だと思ってる。
0229774ワット発電中さん
2016/10/24(月) 19:37:42.74ID:nizWt03M
>>225
> 100ページくらい有るんだろうか
Pen4時代のインテルのリファレンス回路はその位だった。ただ、ここで槍玉に上がった「 大きなシンボルを使い、何枚ものページで構成」な回路図(-_-;)
整理すると40ページ位かな。
0230774ワット発電中さん
2016/10/24(月) 20:30:49.56ID:JtKps6BC
ライブラリのシンボルがでかくて1ページにおさまらない。
俺のシンボルもでかいけど。
0231774ワット発電中さん
2016/10/24(月) 20:49:11.14ID:vZksFTg2
>>226
> ソフトなら、Cの{}とインデントの話で宗教戦争勃発するのに何でだろ?
ソフトはポータビリティが高く、改編する機会が多いから。
それと大人数で関わるから、スタイルに拘るのかも。

その点基板は大人数にならないし、読みにくくても「動いているならそのまま使ってしまえ!!」的なおおらかさがあるからとかw
0232774ワット発電中さん
2016/10/24(月) 21:16:27.33ID:vZksFTg2
>>225
100ページも無かった、70ページくらい。
綺麗書かれた回路図は、たった15ページw

読みやすさは天と地の違い。
0233774ワット発電中さん
2016/10/24(月) 21:42:39.91ID:BFofYnvO
これ言うと
気持ち落ち込むけど

そう遠くないうちに
AIが全部やっちゃうんだろうね
自動で回路設計、回路図作図、
アートワーク、基板製作、実装etc…
0234774ワット発電中さん
2016/10/24(月) 22:17:19.65ID:J6yYaIQo
>>233
> そう遠くないうちに
IBM Watsonが80TFlops。nVIDIAが今5TFlops。
もし1.5年で性能が倍になれば、3年弱で80TFlopsマシンが手元に…

5年後、10年後はありうるね。
0235774ワット発電中さん
2016/10/25(火) 09:11:48.35ID:5HoVETsv
仕様の入力はどうするんだ?・・・・とか言ってるうちに
仕様はおろか製品企画から販売戦略まで一貫してAIが・・・ orz
0237774ワット発電中さん
2016/10/25(火) 13:21:14.47ID:KTYHuEjG
>>232
HPの測定器などの回路図を見ると、ギチギチではないが、機能単位に書いてある。
その代わり横に長くて、2〜3回折り返して製本してある。
0238774ワット発電中さん
2016/10/25(火) 15:44:48.64ID:MT3qomdx
×3年弱で80TFlopsマシンが手元に…
〇 6年弱で80TFlopsマシンが手元に…
0239774ワット発電中さん
2016/10/25(火) 17:01:03.69ID:gCLcLiVk
今のパソコンって、スーパーコンピューターやメインフレームでいえばどの世代ぐらいだろう
国鉄の座席予約一式ぐらいは一台でこなせるのかな
0240774ワット発電中さん
2016/10/25(火) 19:39:01.51ID:MT3qomdx
>>235
メールの添付ファイルを勝手に解読したりしてw

>>237
> 機能単位に書いてある。
だよねぇ〜

俺はページ数が増えるとワケワカメになるから、書いている内にページ数が減って行く人w
A4サイズの簡単な回路なら、A3サイズに4ページ分詰め込んでしまう。
ただし線で区切って、機能ブロックを隣に侵食させない。

50ページ以上にする人、よくチェック出来るなと思うし、あれはある種の才能ではないかと思う。
0241774ワット発電中さん
2016/10/25(火) 21:33:52.91ID:KTYHuEjG
>>240
そうそう。
テレビとか、測定器とか、良くできた回路図って、
見ていると向こうから語りかけて来るんだよね。
設計者の言いたいことや、こだわりのカ所が、まるでクイズのようにね。
「なんでここにコンデンサ入れるんだろう?」って考えて、理由が見つかったときは嬉しい。
回路図見てるのが楽しくなる感じ。
そのためにも、可能なら1枚がベストなんたよね。もし長くなるなら、切って糊で貼り付けたい。

そんな回路図が書けるようになりたいと、日頃から思ってる。
0242774ワット発電中さん
2016/10/26(水) 10:21:15.72ID:XtwEHJd+
FPGAの評価ボードなんか、「機能単位」で描いてある典型なんだけど
プリント(PDF)上で接続追うのは大変
理由は、一個のFPGA自体が数枚のシートに分かれてたり
FPGAから出る数百本のネットの接続先が、シンボルサーチして探すしかないから

完全な階層化図面で書けばかなり見やすくなるし、そうでなくても
図面上でワイヤーをまとめて一つのポートみたいにして
(ToFrom DDR Module)とかコメント書くだけで十分分かりやすくなるのに
そういうのもあんまり見かけないな
0243774ワット発電中さん
2016/10/26(水) 10:39:43.99ID:e2DacwFZ
ここに書いていいかわかりませんが、
ガーバーデータのビューワーを探してます。(フリーソフト)
希望としては
win10で動く
通常、拡張のどっちもOK
Dコードの設定&保存が可能

オススメありましたら教えてください。
0244774ワット発電中さん
2016/10/26(水) 13:28:33.74ID:uf4kKaz9
>>242
そうだね。
書き始めるときに、ブロック図とか書かないんだろうか?

FPGAの関係する図面は、行き当たりばったりで書くと、行き詰まる。

ブロックで分けて書いてるつもりでも、ブロック名が一般的でなかったり、頓珍漢だと
見ているほうが苦労するね。

原因は、基板作製用の「ネットリスト作成機」になっているから。
つながっていればいいだろ的な
0245774ワット発電中さん
2016/10/26(水) 21:09:41.42ID:+jCgEcj/
>>242
> FPGAの評価ボード
それってアルテラ?それともザイリンクス?
OrCADのアルテラは見慣れてて、オフページコネクタが付いてて、pdfで見てもあまり違和感ないや。
ザイリンクスは見にくい。pdfにリンクが埋め込まれてて、マウスで不用意に触ると飛ばされるし。

> 数百本のネットの接続先が、シンボルサーチして探すしかない
人が書いたものは良くあるけど、それなんの評価ボード?
0246774ワット発電中さん
2016/10/26(水) 22:03:33.39ID:+jCgEcj/
話は飛ぶけど。。。

>>244
> 書き始めるときに、ブロック図とか
回路図の直前にブロック図???

ブロック図はもっと早い段階の、構成を構想した時に書くな、俺は。
暴論を言えば、デジタル回路にブロック図って本当に必要なんだろうか?
真ん中にCPUドン!似たり寄ったりのどんなのも真ん中にCPUがあり、それがSoCかFPGAに包まれてる。あとはいつもの周辺回路が取り囲む。。。
0247774ワット発電中さん
2016/10/27(木) 16:51:55.96ID:6q88XeDf
階層化図面でなくても、ラベル(ネット名)をローカルとグローバルの
区別つけられるといいんだけど、そういうCADってあります?
0248774ワット発電中さん
2016/10/27(木) 21:53:19.87ID:+crg6GUE
>>247
あるよ、回路図をVHDLで書くという技が(冗談)

真面目な話、それに対応出来るPCB CADが無い気がする。平面上なのと、長いネット名付けたら短くしてくれと、文句言われた事があったし。
0249774ワット発電中さん
2016/10/28(金) 00:15:48.96ID:ICzZPPT0
近年、CADの代理店がポンポン変わるけど、そういうもの?
???→サイバネット→イノテック。
Protelも変わってるし。
0250774ワット発電中さん
2016/11/25(金) 20:16:59.13ID:rwRs3W7O
OrCAD Captureの部品番号で質問

コンデンサがC1, C2, C3, C5のときにC1をコピペすると、r10ではC4になる。でもr16ではC6になる。

どこの設定を変えればr10の挙動になるの?
0251774ワット発電中さん
2016/11/25(金) 22:19:48.03ID:+lGz6IRx
空いてる番号を埋めてくれる機能なんてあったっけ?
手動でC4にしてはダメなの?
最後に「強制」でアノテーションを掛ければ、一律の番号になるから。

他が変わってしまうのもだめ?
0252774ワット発電中さん
2016/11/25(金) 22:36:35.89ID:lekM6oru
>>251
r10だと埋めてくれるんだよね。
手動でも出来るけど、空き番号を確認するのが手間。
基板改版を一括リナンバしてしまうと、AW屋さんに殺されるw

r16だと番号が増える一方。4桁に達するとAW屋さんでシルク編集が手間らしいし。
0253774ワット発電中さん
2016/11/30(水) 14:56:50.31ID:FZr/OVkL
>>252
俺AWだった。
削除Noはそのまま空き、追加は新Noの方が分かり易い。
Noを流用されると型名が変わったことに気が付かないことがある。
変更内容にその記載漏れがあると大変で・・・
確かに高密度で3桁→4桁だとスペースがないってこともあるから一概には言えないけどね。
0254774ワット発電中さん
2016/11/30(水) 20:34:00.27ID:eFodpXnP
>>253
AW側からすると確かに。

一応、フットプリントも入れてネット渡してはいるけど、

> 変更内容にその記載漏れがあると大変で・・・
そうなんだ、変更履歴も参照するんだ。

いや、いつもこっちが発注元で、AW屋さんはあんまり不都合を言ってくれないんだよね。

埋めて行くのは諦めて、新規Noがいいかな。r16は今のところこれだけだし。
e桁使い切ったら、その次はどうすべ
0257774ワット発電中さん
2016/12/04(日) 20:27:34.40ID:zZoSPn7M
>>256
縦書きフォント選べば?
ってかそれ、電気CADだよ。基板とかじゃないけど良いの?
0258774ワット発電中さん
2017/01/09(月) 19:07:12.91ID:FL4K+F95
電気CADで200個超のパーツを並べるのは楽だけど、基板CADで同じことをしようとしたら死にかけてる…。
他のCADもそうだろうけど、まず重複しておかないで並べてほしい…どうせナンバリングされてるんだから…
0259774ワット発電中さん
2017/01/09(月) 19:14:48.07ID:l/BYTH68
>>258
何を言ってるのかわからない
自動配置の機能くらいあるだろうに
0260774ワット発電中さん
2017/01/09(月) 19:53:02.52ID:FL4K+F95
>>259
そんなのがあるんですか、
古いCADかフリーのCADしか扱ったことがないので、知らなかったです…そういうのがあれば少しは楽なんだろうけどなぁ…。
0261774ワット発電中さん
2017/01/10(火) 16:20:33.57ID:UZChY+NM
>>260
Kicadでも数クリックで一定間隔で並べる機能あるよ
探したらあるんじゃないかな
0262774ワット発電中さん
2017/01/10(火) 17:13:28.25ID:1znYJ8Cg
>>258
あなたが何ていうCAD使ってるのかわからないけど、
うちはKiCadだけど、自動配置もあるし、重ならないように散らす機能もあるよ。
0263774ワット発電中さん
2017/01/20(金) 11:43:18.35ID:H6rpDG7w
Autodesk、電子回路/プリント基板CAD「EAGLE 7.7」の改良版をリリース | fabcross
ttps://fabcross.jp/news/2017/20170120_eagle.html
0266774ワット発電中さん
2017/01/24(火) 08:12:55.03ID:tgwTGP5p
>>263
あれ、Eagleっていつの間にAutodeskに買収されたん?
知らんかったわw
0267774ワット発電中さん
2017/01/24(火) 10:07:45.67ID:kETQlj1C
改良したって、部品整理できるようになったか?
0269774ワット発電中さん
2017/01/24(火) 15:11:41.16ID:prULdHYE
不思議とEAGLEにはかすりもしなかったなあ。
DsignSpark PCBで始めてKiCadに着地。
0271774ワット発電中さん
2017/01/24(火) 16:20:00.10ID:joQXJSYi
DesignSparkでも結構パーツ作ったりしてたけど、
すべて捨ててKiCadにしても後悔はなかった。
なんか移行する直前まで違和感がなくならなかった。
もうDesignSparkに戻ることは無いと思う。
0272774ワット発電中さん
2017/01/24(火) 17:23:39.13ID:0AubFxgP
なるほど、ありがとう。KiCadも検討してみるよ。
0273774ワット発電中さん
2017/01/24(火) 18:11:58.24ID:mlzIjNkd
>>268
あらまぁw
読んだかもしれないけど、「Eagleさんが自動机の計ェ、」なんて書かれてもスルーしたかもw
Eagleは、Eagleで書かれた回路図を見るときにしか使わないし
0275774ワット発電中さん
2017/02/24(金) 09:58:29.78ID:SV/5aJp6
>>49
AR-CAD
結構使えるよ。
0276774ワット発電中さん
2017/02/26(日) 00:15:17.18ID:cdZd13TL
質問させてください。
部品配置を上達する方法はありますか?

後半に行くにしたがって、引き回しが難しくなって、複雑な形のベタ配線でつないでなんとか接続してる状態です。
電流の流れる方向を意識して配置してますが、面積が詰まってきて部品をネジこむ感じになります。

ソフトはCSiEDAを使用しています。
0277774ワット発電中さん
2017/02/26(日) 02:07:32.70ID:VdIJNGuQ
>>276
基板アートワーク屋さんじゃないけど、少し書かせて。

>後半に行くにしたがって、引き回しが難しくなって、
それは、ある程度しょうが無い部分もあると思う。
重要なのは、
・大事なパターンが有利になるように接続してあるか、ということです。
   ・LEDのパターンなんて、ほぼ どうでもよいパターン。
   ・高周波を含む信号は、とても重要。
   ・ストリップラインの差動パターンは、直下層と周囲の間隙の確保
   ・信号パターンに大電流が流れてはいけないし。

>電流の流れる方向を意識して配置してますが、
  電流の流れる向きというよりも、信号の流れる方向だね。
  多段の増幅回路では、入力部分が出力部分に近づくような、
  コの時型の配置はダメだし。

回路設計ができると、アートワークも楽にできるようになると思う。
そうでないと、何を指針にしてパターンすればよいか、わからないから、
「繋がってるからイイじゃん。金払え」という基板が出来上がる。

上手な回路設計屋さんは、基板の部品配置の通りに回路図を書くよ。
多ピンのICは、1 2 3 4 5 6....と、そのピン配置の通りの回路図を書く。
下手くそな回路屋さんは、2 19 3 48 6 9 15...と、好きなピン番を好きな位置に置くね。
0278774ワット発電中さん
2017/02/26(日) 03:55:25.50ID:NzetdV3B
何層?線間は?ドンくらいの周波数で?
用途は?電流いっぱい流す?
前提条件言わないととんちんかんな回答しか付かないよ?
0279774ワット発電中さん
2017/02/26(日) 04:28:53.14ID:KFIVtiTH
>>277の言う「繋がってるからイイじゃん。金払え」という基板屋が多い中、
>>276には感心する。
だんだん慣れてくると「なんでもいいから、たくさんの案件をこなせ」と言われる。
だから回路設計者への回路間違いの指摘もしない。
0280774ワット発電中さん
2017/02/26(日) 07:29:56.50ID:IGpOe3F3
>>276
AW屋さんと打合せしてたとき、配線密度っ言葉を聞いたよ。
つまり「ここをxx本通すから、配線幅xxミリ必要。だからこの部品はそこに置けない」 とか。
へ〜、そ〜なんだと思った
0281774ワット発電中さん
2017/02/26(日) 07:42:42.81ID:IGpOe3F3
>>277
> 多ピンのICは、1 2 3 4 5 6....と、
へぇー、実体配線図を上手とか言っちゃうんだ。
ワイヤーラッピング時代はそうだったらしいけどね、何年前の話だよw
0283774ワット発電中さん
2017/02/26(日) 17:22:48.76ID:sJTK/Fv8
>>277
>多ピンのICは、1 2 3 4 5 6....と、そのピン配置の通りの回路図を書く。

アホなピン配指定でパターングチャグチャの悪寒
0284774ワット発電中さん
2017/02/26(日) 22:26:44.85ID:IGpOe3F3
>>283
そうそう。
それにIEC/JIS規格もガン無視の、俺様回路図を上手いとか、どうかしてる。
それに実体配線図で聞いてたら、ロジックが追えなくて間違えやすいしね。
0285774ワット発電中さん
2017/02/26(日) 22:32:44.93ID:jPldCgaw
276です。

ご回答ありがとうございます。
前提条件書かずにすいません。

基板の用途は電源で、層数は2層。電流は結構流すので電流の方向を意識していました。
メインの経路を重視して引いた後、制御用ICを配置しています。
その周辺の配線がグニャグニャするので改善したいと思って質問しました。
0286774ワット発電中さん
2017/02/27(月) 08:13:55.09ID:F5TmTg96
>>285
パワーブロックと制御ブロックのエリアを最初に決めたら?
デリケートな制御信号がグニャグニャするのは、良くないよ。
0287774ワット発電中さん
2017/03/01(水) 16:24:16.94ID:4TSKj4EP
>>285
制御用ICの、
・インピーダンスの高いピン
・ノイズに敏感なピン
・動作に重要なピン
を知っておくことが重要だと思う。
0288774ワット発電中さん
2017/03/09(木) 01:43:11.83ID:QWGTHFFC
PCB Part Library
https://www.rs-online.com/designspark/pcb-part-library-jp
【完全無料】基板CAD用ライブラリ(回路図記号やフットプリント)の提供サービスです。
登録のない部品は作成をリクエスト頂けます。
ライブラリの準備は 「PCB Part Library」にお任せください。
0289774ワット発電中さん
2017/03/09(木) 06:45:02.99ID:+6yhLUlC
>>277
>多ピンのICは、1 2 3 4 5 6....と、そのピン配置の通りの回路図を書く。

回路図上で見やすい(理解しやすい)のと、パターンを引きやすいのは別ですね。

違うか。たとえば「論理記号で書かれた回路図で、引き回しを考慮できていないものがある」みたいな感じかな。
CPUのピンでも、機能中心で書かれた回路図で、パターンがあっち行ったりこっち行ったりみたいなのはあります。
QFPぐらいならある程度はパターンを意識した回路図にはなるかな?

でもBGAみたなのだとそれにも限界がありますし。
0290774ワット発電中さん
2017/03/09(木) 18:03:33.93ID:PVyDp/AI
>>289
回路図を書く前に、ビン配置を検討してないとそうなるよね。
実体配線図(回路図ではないw)だと、そこを手抜き出来るけどね。

BGA、そうなんだよねw
0292774ワット発電中さん
2017/03/09(木) 18:32:45.75ID:kygnUsyz
>>291
本サービスはDesignSpark PCB専用ですか?

いいえ。DesignSpark PCBをはじめ、図研、Mentor、OrCAD、Eagleなど、電子回路図やプリント基板を設計する幅広いCADでご利用頂けます。
0295774ワット発電中さん
2017/03/09(木) 22:02:12.52ID:RifmHLb6
でも、とりあえず作ってほしいものが無いw
自分じゃ面倒で人に頼みたいのって何かある?
データシート見てちゃっちゃと作った方が早く出来る気がするが。
0296774ワット発電中さん
2017/03/09(木) 22:12:03.24ID:EeJ/AMOp
>>290
>回路図を書く前に、ビン配置を検討してないとそうなるよね。

ピン配置先行ってFPGAが前提?
0297774ワット発電中さん
2017/03/09(木) 22:25:09.29ID:7FSKCjOR
>>296
えっ? マイコンのGPIOのピンアサイン、考えないの?
(質問に質問で返す俺w)
0298774ワット発電中さん
2017/03/09(木) 22:27:05.74ID:blmEo8X3
FPGAはピン配置変えられるから
むしろパターン引いてから設計してる。
マイコンもそうね。
0299774ワット発電中さん
2017/03/09(木) 22:35:18.35ID:+6yhLUlC
自分でパターンを引く場合は良いのだけど、設計業者さんに依頼する場合は、
あとからピン配置を考えるってわけにはいかないし。
0300774ワット発電中さん
2017/03/09(木) 22:44:01.72ID:7FSKCjOR
>>298
ずいぶん古い認識だなぁ
今のFPGAは中のIPとの絡みで、ピンはほぼ機能固定だよ。
0302774ワット発電中さん
2017/03/09(木) 23:36:15.06ID:j/zbilhV
なんとかイチャモンを付けたいだけの人が多いよね。
0303774ワット発電中さん
2017/03/10(金) 10:50:53.86ID:no4kvMOP
みんなオノレのスキルを自慢したいからね。
0304774ワット発電中さん
2017/03/10(金) 12:30:45.74ID:HhUZTxD0
知らずに書いて、無知さらけ出しているのはどうなのよw
0305774ワット発電中さん
2017/03/10(金) 13:56:51.61ID:gr3HvhGF
KiCadはリストに入ってない。
仕方ないから自分で作るか。

以上のどこがイチャモンで、どこが自慢なんだろう。
卑屈なヤツが多すぎるわ。
0306774ワット発電中さん
2017/03/10(金) 22:19:39.49ID:8C4Tpp/V
プログラマブルデバイス全般に言えるけど
ピン配ツールってなんでいまひとつ使いにくいんだろう
CAD/EDAツールだと思うんだけど、PSoCデザイナだっけ?みたいに
内部ブロックを絵にしてIOピンがハイライトとかなれば便利なのに
0307774ワット発電中さん
2017/03/11(土) 03:22:34.39ID:IxJNF+wb
>>299
なかなか難しいよね。
BGAなんか、どういうふうにピン配置すればパターン引きやすいのかって
回路設計屋には完全には分からない
で、パターン屋さんに「引きやすいようにピン配置はそっちで決めて」って言うと
「あんたが決めてくれ」って言われる
0308774ワット発電中さん
2017/03/11(土) 15:44:10.37ID:d2icNFpp
>>307
そういうのってそれこそ繋がってりゃいいんじゃないの?
0309774ワット発電中さん
2017/03/13(月) 03:14:01.70ID:H8rnSyNu
>>308
どうでもいい信号ならそれでいいよ。
でも多くの場合は、スキューとかp/nとかがあって、どうでもいいなんてことは無いんだ。
0310774ワット発電中さん
2017/03/13(月) 07:36:28.68ID:TcShF5sa
>50ページ以上にする人、よくチェック出来るなと思うし、あれはある種の才能ではないかと思う。

全く逆。規模が大きくなると機能単位にしないと駄目だとおもう。チェックはまた別で、チェック
しやすいようにできる。例えばTOPの2,3枚に入出力コネクタと関連テストピンをまとめる。それ以外
って調整では殆ど見ないから何十枚になっても関係ない。

以前設計した20枚くらいの回路を「解りにくいから1枚にまとめました」って言われて、それの
改造依頼が来た。ぐちゃぐちゃに見えて全然わからん。w

 自分の場合回路図50枚~100枚って普通。枚数が増えるのは機能単位で書くからで、例えば
rs232c出力部とかはドカーンと1枚だったりする。
スカスカなのでうまく配置しないと不細工だけど、そこは工夫(デバイス仕様の貼り付けとか、、、)
をして図面の見た目のバランスはとる。
機能単位で回路を作成しておくとコピーだけで流用できるから楽。うっかりの配線間違いもない。
それに100%動くような回路はみないので邪魔だから、そもそも回路図をまとめる時は別にまとめる。

 あとは意識してるのは、TOPだね。回路TOPは全体が楽に見渡せるように配置する。つまり入出力
コネクタを調整を意識した配置にする。(実態に近い配置で書く)
この部分は少し密になっても全体が見渡せるように書く。

機能単位で書く人でも、関連するコネクタをその図面に配置する人がいるが、これは後で調整する
時に非常に見づらい。

 CPUやFPGAを一枚に書いてしまう人がいるけど、機能単位で書く。
 デバイスのピン配置よりも機能単位を優先する。 だからFPGAの電源は必ず別回路図にする。
調整するときにはそんなとこ殆ど見ることないからね。
0311774ワット発電中さん
2017/03/13(月) 08:47:01.81ID:uoBcjicp
機能がマイコンや FPGA のピンのみで分割されてる (完結してる) 場合、
Top には箱が置いてあるだけになったりするね。
0312774ワット発電中さん
2017/03/13(月) 08:50:14.44ID:F2i4If/F
>>310
> うっかりの配線間違いもない。
ページ間でネット名が被ってたらどうするん?

スカスカの100ページ、ページの組合せは10,000通り。半分の50ページなら2,500通り。25ページなら625通り。
豪語してるが、ページ数が増えれば間違いも指数関数的に増える。

無論、1ページまとめればいいものでもない。が、
> 「解りにくいから1枚にまとめました」
独りよがりで、人には非常に分かりにくい事を自覚したほうがいいよ。

それにしても、詰めれば1枚に出来てしまう回路図って、どんだけスカスカなんだ?w
0313774ワット発電中さん
2017/03/13(月) 10:50:23.88ID:lnX5PfRP
まずは日本語の勉強した方が良さそうですな
0314774ワット発電中さん
2017/03/13(月) 12:45:08.71ID:DuTrvSP1
>>309
それなら回路設計者がキチンと指定すべきだし、できなきゃ半人前なんだからできるように努力すべきだろ。
どうでもいい信号線だからパターン屋に任せようなんて判断したんじゃねーの?
0315774ワット発電中さん
2017/03/13(月) 13:35:09.15ID:TcShF5sa
>ページ間でネット名が被ってたらどうするん?

 名称の付け方はセンスだな。機能が違うとネット名称も違う。
だからかぶることはない。
 1枚で書く人は名称の付け方においてもセンスのない人がおおい。

>豪語してるが、ページ数が増えれば間違いも指数関数的に増える。

 ページ数が増えることよりも複雑度が増すことの影響の方が遥かに大きい。
機能分割とは単純化のことでもある。
 単にページ数を減らすことではなくて、もの事の本質が見えるようになる
と間違いは指数関数的に減る。
0316774ワット発電中さん
2017/03/13(月) 13:41:12.09ID:TcShF5sa
>それなら回路設計者がキチンと指定すべきだし、できなきゃ半人前なんだからできるように努力すべきだろ。
>どうでもいい信号線だからパターン屋に任せようなんて判断したんじゃねーの?

推理は正しく行うようにする。そうすると頭がよくなる。適当な推理をすると
問題点の発見も難しくなるし、回路調整もうまくいかない。それではイライラ
するので精神的にも良くないし、頭もよくならない。
0317774ワット発電中さん
2017/03/13(月) 14:35:21.73ID:HIgMiPnl
>>315
印刷とかしないなら別に好きにすれば良いけど
印刷するのなら紙の無駄だなw
0318774ワット発電中さん
2017/03/13(月) 14:48:23.84ID:TcShF5sa
 それよりも印刷順序がそろわない。Mainを1ページに置きたいのに順番が
勝手にソートされる。
 ORCADだが、いつも並び替えてる。
0321774ワット発電中さん
2017/03/13(月) 17:17:51.92ID:q61vzbzN
>>318
ページ名の頭に番号振ればいいやん、100ページを頑張ってw
0322774ワット発電中さん
2017/03/13(月) 17:51:48.33ID:TcShF5sa
>321
ファイ名順にはソートされないな。 いろいろやってはみたんだが、、、
ページ名ってなに?
0323774ワット発電中さん
2017/03/13(月) 18:53:46.83ID:TcShF5sa
おっ、上手くいった。ページ番号って変更できるとはしらなんだ。
0324774ワット発電中さん
2017/03/15(水) 00:57:06.22ID:KoqJhKa/
kiCad いれたが使い方覚えるの大変そうだ・・・・
0325774ワット発電中さん
2017/03/15(水) 03:17:43.16ID:qHSoHzcP
俺も今日入れたけど、拡大縮小が F1 F2 というのに馴染めない。LTspiceと同じ操作。
抵抗を矩形でくくって、CTRL+C CTRL+V で、複製できるかと思ってやってみたけど
結局できなかった。

>>310
機能毎に書くのは賛成する。
しかし、何の回路か知らないけど 100枚とか 枚数が増えるのを気にしない姿勢は、好きになれない。
機能で1枚を目標に書きたい。

>例えばrs232c出力部とかはドカーンと1枚だったりする。
RS232のI/Fなんて簡単なんだから、それこそ他の機能のページに同居でいい。
スカスカに書かれていると、見ていてむかつく。

topページにコネクタ、チェックピンを書くのは賛成だけど、内部回路も見たい。
コネクタ→抵抗→フォトカプラまでが見たいし、トランジスタ(C)→抵抗→コネクタまで見たい。
しかし、1つの部品が複数ページに重複記載は許されないだろうから、
結局top図面は、回路図ではなくてドローで基板とコネクタを四角で示して、
回路図ページ数を書いて視線の移動先を示し、コネクタは、そのの回路図ページに載せることになる。

A4やA3サイズで100ページにもるなら、A2用紙で25枚にしたいと思う。
回路図は、信号を目で追えてナンボだと思う。
0326774ワット発電中さん
2017/03/15(水) 08:13:36.29ID:CPD/yNuz
A4がいいよ。ドキュメントとして出した時に処理しやすい。

それから、例えばRs2332cでも単に部品と配線を書くだけではなくていろいろ
と説明を付け加えるとA4いっぱいになる。
3端子一個の電源でも、設計に必要な項目を詳しく書き込むとA4でいっぱい
いっぱいになる。
 3端子といえども詳しく設計すると検討事項はかなりある。
待機電流(無効電流)が0.1uAで30V耐圧とかそれらの要点を書き込んでおく
といろいろと役に立つ。実測値の書き込みエリアを設けておくと評価時に
測定忘れもない。

ようするに回路図が設計ドキュメントになっているということだ。

>しかし、1つの部品が複数ページに重複記載は許されないだろうから

これをやりたいことがある。部品を貼り付けるとカウントされるから当然だめで
Pictureコピーして貼り付けると、リアルになり過ぎる。Pictureを抽象化できる
機能があるといいと思うことはよくある。

>回路図は、信号を目で追えてナンボだと思う。

メンテに使える回路図がいいと思う。それから回路を書きながら楽しめるのが
いい。ドキュメント化すると楽しいぞ。
「こなし」や「やっつけ」で仕事をするのはだめだな。遊びがないような仕事は
段々と技量も落ちる。
0327774ワット発電中さん
2017/03/15(水) 08:20:59.73ID:ac0cRzcI
>>325
同意する

> RS232のI/Fなんて簡単なんだから、
俺なら1ページに6回路は入れるな。

> A2用紙で25枚にしたいと思う。
CR-5000/SDならA3がいいと思う。
ただOrCADだとシンボルがデカイのか、CR-5000/SDと同じ情報量がA3に入らないw
でもA2サイズだと、画面上で見渡しにくい。それで俺はOrCADを使い始めたとき、A2とA3の間のサイズにするようにしたw

珍しいハズだし、A3より細かいんだが、見る側には気にならないらしい。
ページ数も減るしね。
0330774ワット発電中さん
2017/03/15(水) 11:19:19.11ID:G2hzdqJw
>>326
>3端子といえども詳しく設計すると検討事項はかなりある。
>待機電流(無効電流)が0.1uAで30V耐圧とかそれらの要点を書き込んでおく
>といろいろと役に立つ。実測値の書き込みエリアを設けておくと評価時に測定忘れもない。

これは、ちょっと違うと思うな。
そういう設計検討は、別の紙に書くべきで、
回路図は、3端子レギュレータが どのように接続されて、どのように入出力しているかを示すべき。
なので、部品記号、配線の他に、必要な文字情報は、
上流信号のネット名(+BとかVinとか)  C1 0.1uF  C2 22uF  IC1 XXXXX (xxxx)
R1 xxxk  R2 250  C3 0.1uF  C4 0.1uF  Vout=5.0V (0.5A無信号時)
Voutがどのようなネット名で使用されるか(Vccとか+5Vとか)
程度にしておくべき
「なるほど、+BがxxxVくらいでやって来て、ここで5Vを作ってるんだな。それは、+5Vという名前で各部で使われるんだ」
と分かればよい。
その横に細かい説明や計算式を書かれても、見づらくなる。

>ようするに回路図が設計ドキュメントになっているということだ。
それは賛成。
信号の流れ図、部品の接続情報を提供するのが回路図の仕事だと思う。
設計根拠までいらないと思う
0331774ワット発電中さん
2017/03/15(水) 16:20:04.09ID:G2hzdqJw
>>327
>ただOrCADだとシンボルがデカイのか、
確かにデカイ。センスのないシンボルだね。
なので自分でほとんど作り直してる。
0332774ワット発電中さん
2017/03/15(水) 18:52:39.09ID:rBQTLj/5
>>330
> そういう設計検討は、別の紙に書くべき
同感だなぁ。閾値とか、デバッグに役立つのは書くけどさ。

それよりも、俺の回路図はAW屋さんへの依頼事項を書くな。
別の文書も書くけど、最初に見て忘れ去られる事が多くてorz

忙しいから無理ないと理解して、回路図がAW屋さんへの伝言で埋まってるw
0333774ワット発電中さん
2017/03/15(水) 19:10:54.79ID:n3DU9l2S
>>326
設計ドキュメントと回路図の完全統合ってことだと思うけど
結果として枚数が膨大になって、少なくとも他人には全体の見通しが
すごく悪くなっってしまう

他人が回路図見る場合に第一に知りたいのは、「コネクタとかIC
(のピン)がどこにつながってるか」ってことだから
それ見るのにA4のファイルめくったり机の上に広げたり
結局自分で接続関係書き起こしたり・・・ってなる
0334774ワット発電中さん
2017/03/15(水) 21:36:12.38ID:G2hzdqJw
>>332
>それよりも、俺の回路図はAW屋さんへの依頼事項を書くな。
僕も基板屋への指示を一生懸命書くけど、ネットにしか興味がないようで、
見てくれない。
しょうがないので、別紙に基板屋への要望として箇条書きにしている。
さすがに別書類になっていると、基板屋内部での検図でひっかかるらしく、
それなりに対応してくれる。
基板屋さんが回路図を読めるといいんだけど、それは叶わぬことらしい。

基板屋さんの教育なのか、GNDは全部太いパターン。
マイコンやFPGAの設定ピンまで「ど太く」つないである。
しかし、+電源側は太くない。GNDが太いなら+も太くないとダメですよ、と何度も説明。
0335774ワット発電中さん
2017/03/15(水) 22:01:58.14ID:FexVnl3Y
>>334
>しかし、+電源側は太くない。GNDが太いなら+も太くないとダメですよ、と何度も説明。

ケースバイケースでしょ
0336774ワット発電中さん
2017/03/15(水) 22:08:03.05ID:S/uBohby
>>334
GNDベタ宗教があるからね
そんなに太く要求するなら
多層版でお願いします
0337774ワット発電中さん
2017/03/15(水) 23:03:56.24ID:n3DU9l2S
>334
> しょうがないので、別紙に基板屋への要望として箇条書きにしている。
これが、現在の「回路設計屋⇔AW屋さん」の標準インターフェイスで
しょうね。AW終了後のチェックリストにもなるし
自分は、「NET名○○の配線について」みたいな形で書いてます・・・が、
これも見てくれなかったりするときがある orz
0338774ワット発電中さん
2017/03/15(水) 23:18:23.84ID:n3DU9l2S
ちなみに、CAD上の図面サイズについては
A2(印刷はA3)、A3(印刷はA4)って感じにしてます。
D2CADだけど、OrCADとかKiCADでも同じ感じかな?

昔話になりますが、DOSのOrCADでは200dpiのFAXプリンタで
A2(印刷はA4)ってしても実用の範囲だったです
0339774ワット発電中さん
2017/03/16(木) 03:41:42.47ID:NI13TJSD
>335
>ケースバイケースでしょ
そうですか?
GNDが太くて、+側電源が細くてもいい具体例を示してもらえないでしょうか?
0340774ワット発電中さん
2017/03/16(木) 03:48:04.23ID:NI13TJSD
>337
僕は、回路図をコピーして、そこにマーカーペンで「LVDS 100Ωペア」とか示してます。
特に注意が必要なのが、ボリュームとDIP SW。
ボリュームは1,3入れ替え可能だと思ってるみたいで、右に回すと電圧が下がったりゲインが下がったり、大変。
DIP SWは、回路図上で1234 5678とピン番を付けていてネット道理なんだけど、
天地を逆に配置されたことがあった。 ON↑なので、上=1, 下=0 にしたかったんだが。
0341774ワット発電中さん
2017/03/16(木) 05:19:54.61ID:oeJeycIk
>>339
GNDを信号の基準レベルとして動く電子回路一般がそうでしょ。

GNDラインと電源ラインの電圧降下を考慮した上でICの動作電源電圧内に入っていればOK。
極端な話、電源ラインはギリギリまで細くしてパスコンでごまかすことも可能。
信号については、GNDラインの電圧降下分がモロにノイズと認識される。
0342774ワット発電中さん
2017/03/16(木) 06:52:26.02ID:OivK33GR
>>339の発想とは逆にネット名VCCのラインを無差別に太くしている基板も見たことがあるなあ。
プルアップ抵抗の信号側が0.2mm以下なのに、VCC側がチップ抵抗のパッド幅いっぱいみたいなパターンで繋がっていたりして。
リフローのときにセルフアラインで偏ったりしないのか心配になる。
0343774ワット発電中さん
2017/03/16(木) 07:17:50.79ID:OivK33GR
>>340
そのAW屋さんって、ネットリストのピン番号を無視するの?
それとも、AW屋さんのライブラリのピン番号が違っているのかな。

購買の関係で、AWに出す直前にトランジスタをロームのものに変更してトラブルに遭遇したことがある。
AW屋さんに出した部品リストもロームの型式。で、回路図ではなく、ネットリストのピン番号で配線してくれた。
ttp://rohmfs.rohm.com/en/products/databook/datasheet/discrete/transistor/bipolar/2sc2412kt146q-e.pdf
0344774ワット発電中さん
2017/03/16(木) 07:21:34.24ID:NNuuQolP
アナログ回路だと、VCC側にはデカップリング用にチョークコイルだの
抵抗だの入れるくらいだから、VCCラインは細くてもいいですね

CMOSのロジック・マイコンは両電源基準?のはずなんだけど
2層板の作例なんかではGNDはベタ的になっててもVCCは
2mmくらいのパターンになってるのが多いかも
0345774ワット発電中さん
2017/03/16(木) 07:36:30.64ID:NNuuQolP
>343
「回路図ではなく、ネットリストのピン番号で配線してくれた」って
よく分からないんだけど、ネットリストは回路図から出したんじゃないの?
Gate,Drain,Sourceに対応するピン番号を付け間違ってたってことかな
0346774ワット発電中さん
2017/03/16(木) 07:40:53.98ID:Zatj1nDE
検図してる(ことになってるw)のでぜんぶ回路屋の責任だろ

おいらはAW屋さんに、ここおかしくないですか?と指摘されるほうが
多いので助かっているw
0347774ワット発電中さん
2017/03/16(木) 08:00:04.65ID:oeJeycIk
>>340
>天地を逆に配置されたことがあった。 ON↑なので、上=1, 下=0 にしたかったんだが。

どう配置してほしいか指示してたのか?
0348774ワット発電中さん
2017/03/16(木) 08:40:32.36ID:X0eq2U0e
>>341>>344
外来のコモンモードノイズを忘れてない?
VccとGNDがあまりにも違うと、CMノイズで不安定で再現性が??な基板になるよ。
0349774ワット発電中さん
2017/03/16(木) 08:46:03.15ID:OivK33GR
>>345
回路図のシンボルもネットリストもそのままで、回路図と部品リスト上の型式をだけを変更しておきたトラブル。

SOT-23のトランジスタのデータシートでのパッケージのピン番号は、
ベース-(1)
エミッタ-(2)
コレクタ-(3)
になっているものが多いと思うんだ。(メーカーによっては数字じゃなくてB E C とそのままになっている)
シンボルそのままで型式だけを変更したから、ネットリスト上では上の通りになっていた。

でも、ロームは番号の振り方がこんなふうになっている。
ベース-(2)
エミッタ-(1)
コレクタ-(3)

当然だけど>>346の「検図してるのでぜんぶ回路屋の責任」は前提です。

同じパッケージ、同じ機能の部品でもメーカーでピン番号の振り方が違うものがあるので気を付けないとダメですね。
0350774ワット発電中さん
2017/03/16(木) 08:47:45.22ID:X0eq2U0e
>>343
> ロームのものに変更してトラブル
俺もあるわw
ロームって、ピン番が適当でまちまちなんだよね。

それから俺は、前提としてるピン配の絵を、回路図に書くようになった。
(こんなんでAW屋さんへの伝言が増えてく)
0351774ワット発電中さん
2017/03/16(木) 09:00:05.33ID:OivK33GR
4層基板みたいにゴージャスにVCC層GND層を確保できる場合は別として、
GNDをベタにしておいて、
VCCは、交流分はパスコンを通じてGNDベタに頼って、直流分を考慮したパターンにすることが多いな。

外来のコモンモードノイズが問題になるケースってどんなのでしょ。
主役が電源ラインからのコモンモードノイズだとしたら、入り口から電源回路で抑えておくべきことだと思います。
ここで議論になっているのは、信号回路部分のVCC、GNDのことだと思っていましたが違ったっけ。

信号ラインから来るコモンモードノイズなら、フィルタや差動受けで対策することが多いかな。

でも、オーディオの世界ならよくわかりません。
0352774ワット発電中さん
2017/03/16(木) 19:18:37.68ID:LTrvIF3N
>>349
部品とシンボルとマクロが紐付けされてないの??
ネットリストの意味ないやん…
0353774ワット発電中さん
2017/03/16(木) 20:02:26.07ID:OivK33GR
>>352
変更して使うことになった部品がライブラリに含まれていなくて(ということは割とよくある)、
そもそも、同一パッケージのトランジスタで物理的には同じピン配置なので
油断して、図面上の名前の変更だけで対処してしまったのです。
0354774ワット発電中さん
2017/03/16(木) 20:11:49.62ID:LTrvIF3N
>>353
>変更して使うことになった部品がライブラリに含まれていなくて(ということは割とよくある)、

割とよくあるってのは否定しないが、ライブラリに含まれていない時点で、シンボルとマクロを起こすだろJK
0355774ワット発電中さん
2017/03/16(木) 21:18:46.68ID:gxjHczjF
>>353
>変更して使うことになった部品がライブラリに含まれていなくて(ということは割とよくある)、
エラー出ない?
0356774ワット発電中さん
2017/03/16(木) 21:53:59.66ID:OivK33GR
>>354
んー。それを常識と言えるぐらい丁寧じゃないな。俺。

設計段階で ON semi の MC7805 使ってて、
AW屋さんに出す直前に、購買の人から「在庫がたくさんあるし同じパッケージの東芝 TA7805にして」なんて言われたときに
TA7805がCADのライブラリになかったら MC7805 のシンボルも含めた部品情報をそのままコピペするかも。

とまあ、こんなことをするとAW屋さんによってはピン配置がおかしくなっちゃうわけで。
0358774ワット発電中さん
2017/03/16(木) 22:34:13.08ID:OivK33GR
>>357
そうそう。ズボラしたらダメだね!
AWの結線ミスのほとんどは、回路設計者が原因だし。
(3端子レギュレータの MC7805 と TA7805 でピン番号の振り方が違うのって知ってた?)

昔々のミスなんだけど、AW屋さんに出す部品リストを ロータス1-2-3 で作っていて 74HC04 の
パッケージに謝って DIP16P って書いたことがあるよ。
これはなかなか奇妙なパターンになってきてチェック時にすぐに分かった。
0359774ワット発電中さん
2017/03/16(木) 22:35:15.57ID:GzzTie52
>>351
> 外来のコモンモードノイズが問題になるケース
近くのモーターだったり、車載品だったり、IoTだったり。
AC200VとAC100Vが並走してるとか、スパイクノイズとか、バッテリ駆動でグランドが浮いてるとかね。
0360774ワット発電中さん
2017/03/16(木) 22:48:50.10ID:OivK33GR
>>359
リアルなラインで繋がってなくて、並行している金属に流れる電流から受けるノイズも「コモンモードノイズ」って言うのだっけ?


バッテリ駆動でグランドが浮いているケースで、実際に電線がつながっているとしたら、それは信号線だと考えて良いかな。
割とそういう感じの機器は作ってきたけれど、GNDとVCCの太さの違いがあることが原因でコモンモードノイズに弱くなったって経験がないな。
0361774ワット発電中さん
2017/03/17(金) 00:14:04.97ID:9sLkpCP4
GNDとVCCの太さについて、みなさんの話は、交流(過渡)のときのことばかりだね。
過渡の電流変化については、パスコンが頑張ってくれるけど、
直流領域では、VCCパターンが細いのはダメでしょ。
0362774ワット発電中さん
2017/03/17(金) 02:13:31.93ID:r0fO2DB4
>>359
そういうノイズが電源ラインをGNDと同程度の面積にすることで防止できるの?
逆にGNDの面積が減って悪化する悪寒もするけど。
0363774ワット発電中さん
2017/03/17(金) 02:14:53.02ID:r0fO2DB4
>>361
>直流領域では、VCCパターンが細いのはダメでしょ。

電源供給に問題が出るほど細い場合の話は誰もしてないのでは?
0365774ワット発電中さん
2017/03/17(金) 08:17:46.60ID:fSxN3fL/
>>360
コモンモードノイズ、分かってないの?

EMI試験やESD試験はやっているだろうけど、EFT/B試験もやってみるといいよ。4kVとかクソ強力なやつで。
(ESDは壊れなければいいだろうが、EFT/Bは「それでも正常に動作すること」を要求される)(汗)
0366774ワット発電中さん
2017/03/17(金) 08:52:24.95ID:EZTBwiyZ
>>365
ファーストトランジェントバーストだよね?
電源ラインでも信号へのカプラでも、GNDとVCCの太さの違いがあることが原因で弱くなってたって経験ないよ。
経験で話が食い違うのは、回路に強く依存するからだろうな。具体的な回路をここで議論するのはさすがに憚られるから避ける。

ある種の回路であればGNDとVCCの太さの違いが影響をもたらすのだと肝に銘じておくよ。ありがとう。

でも、俺は民生機器の量産品はタッチしていないし、そこまでの試験が要求されるようなお仕事で、
VCCも強化しないといけないのなら、迷わず4層だな…
0368774ワット発電中さん
2017/03/17(金) 16:21:00.51ID:m3kX9Ato
>365
そんな強烈なノイズなら入口でカットするよ。VCCを太くして解消なんて無理だしw
考え方がワヤじゃのー
0369774ワット発電中さん
2017/03/17(金) 20:29:03.41ID:jMfuosz8
確かに理論的には、GNDとVCCの面積が同じほうが大きく違うよりも
コモンモードノイズがノーマルモードノイズに変換されにくいはず
なんだけど、パスコン入ってるから交流的にはほぼ一体なわけだし
実際にそれでノイズ耐量大きく違ってくるものかな?
0370774ワット発電中さん
2017/03/17(金) 20:35:12.61ID:m3kX9Ato
それはケーブルを長くひきまわしてるような場合だな。基板上でそんなこと
考えるのは本末転倒。
0371774ワット発電中さん
2017/03/17(金) 20:45:48.83ID:r0fO2DB4
>>369
どこに乗ったコモンモードノイズがどのような経路でP板上のパターンに飛び込むのか?

VCCとGNDのパターンが同じような配線だとどのような理由で上記ノイズの影響が小さくなるのか?

ご教授願います。
0373774ワット発電中さん
2017/03/17(金) 21:41:50.74ID:jMfuosz8
>>371
>どこに乗ったコモンモードノイズ
今までの話の流れで、(VCC・GND)の元の電源ライン

>VCCとGNDのパターンが同じような配線だとどのような理由で上記ノイズの影響が小さくなるのか?

(パスコン入ってない場合)
元のコモンモードノイズをパターンのインダクタンスと大地との浮遊容量で
分圧したものがパターン上のコモンモードノイズとして生じ
その差がVCC・GND間のノイズとなって現れるわけだから
パターンの面積が違うよりも同じほうが小さいと推測できるのでは?

パターン形状が完全に同じで大地に対して平衡していれば、VCC・GNDには
同じ電圧(ノイズ)が生じ、その差は0ってことになりますよね?
0374774ワット発電中さん
2017/03/17(金) 21:53:49.98ID:jMfuosz8
ちなみに、私は、VCCパターンをGNDと同じくらい広くとらないと
コモンモードノイズに弱くなる、っていうのは初耳なんだけど
ACラインにトランスレスでぶら下ってる回路なんかでは、そういことも
起こりうるのかなあ・・・と思って 369を書いた次第です
0375774ワット発電中さん
2017/03/18(土) 11:37:41.36ID:QeC5R8wZ
>372
次の入り口でカットするんだけどね。それで基板の入り口でもカットできなけ
ればお釈迦。ご臨終です。w
0376774ワット発電中さん
2017/03/18(土) 11:57:24.75ID:QeC5R8wZ
>ACラインにトランスレスでぶら下ってる回路なんかでは、そういことも
>起こりうるのかなあ・・・と思って 369を書いた次第です

そうだね、常時影響がでるので、ノイズマージンが下がるということになる。
4kで誤動作するレベルとすると仮にコモンモードで4kVで1Vのスパイクが基板に
乗ると仮定するなら、100/ 4K程度の影響が常時あるということになる。
1/40v=25mVくらいだね。これが大きいか小さいか?

逆に基板が出来上がってきたら、AC100Vにグランドをダイレクトにつないで
電源を観測して25mVもACノイズが乗っているようなら、駄目ってことで判断
できるかもしれない。 ン? そうだろうか? そうだよね。
0377774ワット発電中さん
2017/03/18(土) 13:45:21.49ID:DYYzX1Pg
どんどん話が極端だったり厳しい条件の方に偏ってきている。

ベタGND+直流分を満たした電源ライン+パスコン
という構成で多くの人の用途はカバーできそうだね。

作った基板やセットにファーストトランジェントバースト試験をやってる人ってどれぐらいいるの?

用途要求に応じた設計って大事だと思う。
無用にコストを上げるのも無駄だし、それが製品なら競争力を下げるだけ。
こういう設計をするべき論は、要求をふまえたものでないと。
0378774ワット発電中さん
2017/03/18(土) 14:31:41.45ID:LbocclhE
>>377
製品として売るならふつーにやるんじゃね?
そしてふつーに作ればふつーにトラブらない。

ただ、電源ラインのコモンモードノイズが、機器内の基板にまで影響するような電源やフレームの設計はふつーではない。
0379774ワット発電中さん
2017/03/18(土) 14:54:25.40ID:tkPBCX79
そろそろツールの話しませんか
放射ノイズの解析ツールがどうとかならいいと思いますけど
0380774ワット発電中さん
2017/03/18(土) 16:59:17.22ID:DYYzX1Pg
>>379
すみません。

ところで、放射ノイズのシミュレータの話はよく聞くのですが、この話題のような
コモンモードノイズがノーマルに化けるとかも含めて、外来ノイズのシミュレータってあるんでしょうかね。
0381774ワット発電中さん
2017/03/18(土) 18:28:32.61ID:QeC5R8wZ
Excelでいいんでは?
0385774ワット発電中さん
2017/03/19(日) 05:18:56.43ID:qcqrWwzx
ボタンを貼り付けて
 電源の太さ
 グランドの太さ
 線間
 長さ
を入力できるようにして
ボタンを押したら、計算して結果を出すようにする。
そしてその計算式の出力にとりあえずは
「無問題だ。気にするな!!!」
とメッセージがでるようにしておけばいい。
0387774ワット発電中さん
2017/03/19(日) 11:02:27.17ID:oj5Rrlpu
>>380
外来ノイズのシミュレータは、モデルを作れば既存のシミュレータで出来るよ。
ただ、それが出来る技術力があるAW屋さんがほとんどない。
0388774ワット発電中さん
2017/03/19(日) 11:18:09.59ID:phcIcVnM
>>387
それができればその会社は開発してるか
開発部署があるわ。
0389774ワット発電中さん
2017/03/19(日) 12:32:43.44ID:IxOSFRk+
>>388
あんたが知らないだけ。
ESDもEFT/Bもシミュってくれる会社はあるし、俺は依頼もしてるw
0391774ワット発電中さん
2017/03/20(月) 02:24:00.71ID:yasBEYbl
https://goo.gl/MFkghn
これ本当だったら、普通にショックじゃない??
0394774ワット発電中さん
2017/04/14(金) 12:00:55.31ID:UfQBfmV9
Kicadを使い始めたんだが、テキストから部品を作る方法はどうやるの?
200PinとかあるFPGAの名称とピンをいちいち打ち込めないよね。
0396774ワット発電中さん
2017/04/14(金) 17:02:04.42ID:7BfSvNrf
質問して自己解決宣言するなら、どう解決したのかも書くのが作法ってもんだ
0397774ワット発電中さん
2017/04/14(金) 19:06:42.76ID:UfQBfmV9
あっ、そうだね。作法ってほどでもないけど、web上に多ピンのコンポーネントを
作るサイトがある。
http://kicad.rohrbacher.net/quicklib.php
ここを使えば一発でできる。
0398774ワット発電中さん
2017/04/15(土) 17:35:02.77ID:7yFg3CXY
質問だけど基板設計でデジタル回路ってベタで隙間埋めた方がいいのかな
0399774ワット発電中さん
2017/04/15(土) 18:13:43.49ID:LC9GeqPl
>>398
両面や片面の表層で空きエリアをGNDベタで埋めた場合、
GNDや電源の強化になって、ノイズ耐性は上がる方向に働くことが多いけれど、
それがアンテナになってノイズ輻射の原因になることもあるよ。
4層なら信号ラインでブチブチのベタになることは少ないだろうし、輻射の原因にはなりにくい。
0400774ワット発電中さん
2017/04/15(土) 19:18:07.03ID:ardr3b8Q
端に2箇所以上ビアを打てないベタは無い方がマシ
0402774ワット発電中さん
2017/04/16(日) 13:00:03.12ID:cCzRbxpd
GNDビアの打ち方、いつも迷う・・・
間隔はどれくらいがいいんだろうか。
0403774ワット発電中さん
2017/04/16(日) 16:07:28.78ID:6TsMH6zy
自分の場合、ベタGNDは2.54mmグリッドで中2つ明けて(7.68mm間隔で)打つことが多いな
0405774ワット発電中さん
2017/04/16(日) 21:38:50.10ID:QTlEJB+9
>>402
その基板のクロックの波長の半分以下。
波長短縮率を忘れるな
0406774ワット発電中さん
2017/04/24(月) 11:48:26.44ID:RqmNg3No
インチでの倍数値のきおくしてるやつ

0.635
1.27
2.54
3.81
5.08
7.62
10.16
12.7 そんなもんかなあ。
0407774ワット発電中さん
2017/04/30(日) 17:50:54.27ID:rw2BI6dS
今、仕事受けてる会社の影響でインチ設計じゃなくo設計でしてるけど
こっちのがやりやすいわ
0412774ワット発電中さん
2017/05/13(土) 16:37:13.33ID:QTKS0Cct
>>406
0.635 → QIコネクタのピンは、0.635mm角だよね
1.27  → SOP ICのピンピッチ
2.54
3.81 → 高電圧系のコネクタや端子台に多い
5.08
そんなもんかなあ。
0413774ワット発電中さん
2017/05/18(木) 16:29:27.41ID:Mh0YB1Fb
>>349
俺は元AW屋だった。そこでの話だけど、
トランジスタのピン番は1,2,3がメーカーの仕様なのか回路屋の仕様なのか分からないし、
その度に回路図、部品カタログ、ネットリストを見比べることになるから、
部品作成時に全部ECBで作って、ネットも配線前にECBに振り替えてた。
極性ある電解コンやダイオードなんかも振り替えた。
0414774ワット発電中さん
2017/05/18(木) 20:31:37.31ID:IV+e0Adl
回路設計屋だけど

俺の場合、部品変更になった場合は必ず回路図も変更してそれからネットリスト出力してる
極力ネットリスト直での変更はしないしAW屋さんにも自分でしないよう徹底してる
極端な話、ネット照合だけすれば接続間違いが起きないように工夫はするべきだよ

パターンの都合上、ロジックICや抵抗アレイなんかのパッケージをスワップする場合は、まずAW屋さんに任せて必ずフィードバックしてもらい、回路図も変更する

兎に角一元管理を徹底すればトラブルは起きないと思う

シンボルの部品のピン番号はデータシート通りとか変なコンプライアンスがある業界は知らん
0416774ワット発電中さん
2017/05/19(金) 04:57:20.13ID:3foEqAr5
回路設計屋ですが、DIP SWには注意を要するね。

マイコンソフト屋さんのことを考えて、DIP SWを 上(ON)=1, 下(OFF)=0にしてあげようと思って回路図を書いた。
ところがネットリストの接続ばかりに気を取られていたら、上下反対になって基板ができてしまった。

負論理しかやったことがない基板屋も困ったもので、DIP SW=ONを
0417774ワット発電中さん
2017/05/19(金) 07:17:58.76ID:e4bQbAju
>>416
途中で切れているけれど、基板屋さんに負論理、正論理みたいな暗黙の了解の有無を期待するのかな。
この場合は、「特に指示がない限りONが下」という習慣を基板屋さんが発動させたか、1/2の確率にすぎなかったのかは分からんのですが。

ONが下になる感覚は負論理というより、
「ライトアングルのDIPスイッチは左から順に1からで、下がONだし、水平配置のDIPスイッチだって、左が1で手前がONだろう」
って感じじゃないでしょうか。

ライトアングルDIPスイッチ(ピアノスイッチ)の場合、1と0を表現するのに、装置のユーザーから見れば「レバーが上にある方が1」の方が自然な気がします。
0419774ワット発電中さん
2017/05/19(金) 08:45:25.48ID:jXlNSBlN
ソフト屋ってそんなバカなの?
0 で On になる制御なんて珍しくないだろうに。
0421774ワット発電中さん
2017/05/19(金) 10:01:34.89ID:OhAjLE/E
ソフト屋から言わせてもらうとシルクでON/OFF書いてあれば
上とか下とかどうでもいい。どちらかっつーとハード屋の
こだわりだと思ってたけど違うのかね。
開発用の治具なのかプロダクションの基板なのか知らんけど
ケーブルとかの配置や好みでどんな方向に置くかなんて変わるし。
0422774ワット発電中さん
2017/05/19(金) 10:09:00.69ID:LyWSoRX3
ON/OFFじゃなくてH/Lにしておくとみんなが共通で理解できそう
0423774ワット発電中さん
2017/05/19(金) 10:46:03.50ID:037B1FYF
「ポートxxがHの時には〜」って書いたら「Hって何?」って聞かれたよ。
0428774ワット発電中さん
2017/05/19(金) 18:12:23.28ID:mLqTyZOs
まず人の手が触れたり見たりする部品の外形指示をしないのがおかしいと思うけど
後出しじゃんけん仕掛ける設計屋は無能だぞ
0429774ワット発電中さん
2017/05/20(土) 03:29:15.92ID:+V3SH5R/
仕事で回路図を書いています。

バス線の接続は、斜めの部分が結構好きで、良く使うのですが、
信号名が混ぜられないのが嫌いです。
D0----
D1---- D[3:0]
D2----=================
D3----
という感じで、同じ名前で末尾が数字でないと使えません。

でも多くの場合、D7〜D0、/RD、/WR、/AS、/EN など、関連信号も付いて回ります。
今時、1種類のもしかも末尾が数字しかできないのが信じられません。
ソフト屋さんは気にならないのでしょうか。

複数の種類の信号も載せられるCADってありますでしょうか?
0430774ワット発電中さん
2017/05/20(土) 04:30:41.65ID:qI2jZ6aJ
>>429
>>429
OrCAD以外ならみんな出来るんじゃね? 知らんけど。
実はOrCADも、/RDや/WRとかのネットに D100とかD101の別名を付ければ出来るけどね。

ただバスで括られると、中に何の信号が入っているか見通しが悪い。分からない。回路を追うのも面倒。
慣れたらOrCADルールのほうがいいや、回路図はブロック図ではないんで。
0431774ワット発電中さん
2017/05/20(土) 12:35:22.58ID:qKkXu6ef
>>430
OrCAD以外ならみんな出来るんじゃね?

そうなんですか? いいなあ。
買い換えようかな、CAD。

別名って、どうやって付けるんでしょうか?
通常のネット名は、Nキー で付けています。
0432774ワット発電中さん
2017/05/20(土) 13:09:40.32ID:CcQync0Y
OrCADで一括変更しようとすると、オブジェクト属性の編集でスプレッドシートにexcel貼れば何とでも出来そうだけど、オフページコネクタとテキスト複数選択はスプレッドシート化出来ないんだよね…
数10箇所もの変更をしたくても、現状手入力してチェックして…すごく面倒くさすぎなんだけどいい方法ないかな?
0433774ワット発電中さん
2017/05/20(土) 16:25:16.07ID:3BRjunYr
>>431
信号線にはネット名とオフページコネクタの2つが書ける。それを別の名前にしてしまう。
(めんどくさい)
0434774ワット発電中さん
2017/05/20(土) 16:36:27.00ID:b3nL0lLk
>>432
俺はキーボードで頑張る人w

OrCAD上だと、マウスカーソルをカーソルキーで動かせられる。
なのでカーソルキーで移動し、スペースキーで選択、エンターキーで編集開始。
一度に直すのは無理だけど、そこそこエクセル並には編集出来るよ、マウスに持ち代える必要ないから。
0435774ワット発電中さん
2017/05/20(土) 20:18:38.89ID:qKkXu6ef
OrCADで、配線の上に乗ってしまった文字って、
まうすで、うまく選択できますか?
文字ではなくて配線が摘めてしまいませんか?

コネクタをミラー反転すると、ピン番号が、ピンの反対に来ませんか?
2.54で書いてあると、ぱっと見異常無しに見えて、ハマると言う。
0437774ワット発電中さん
2017/05/20(土) 21:39:42.85ID:CcQync0Y
>>434
やっぱりそうなりますよねえ(白目)
カーソルキーで矢印移動の発想はなかったです。
早速やってみます!
0438774ワット発電中さん
2017/05/20(土) 23:07:13.34ID:qKkXu6ef
OrCADで、ALTキーを押しながら配線を移動すると「切断移動」になります。
豆知識ね。
0439774ワット発電中さん
2017/05/21(日) 00:09:59.76ID:C/yi7NW5
>>437
CADをキーボードで操る、変な人状態になるけどねぇ〜w
数多く修正したいときはお薦め
0440774ワット発電中さん
2017/05/21(日) 00:32:22.90ID:vAeVV5ou
回路図CADでバスがあんまり有効じゃないのは、ICなどに接続する部分は
結局バスを直接ってわけにいかず、結局個別の信号を引き出さないと
いけないってことだね
これを改善するには、パーツのピンをバスに接続できるようにすれば
いいんだけど、今のところそういうCADはないのかな
0441774ワット発電中さん
2017/05/21(日) 10:25:32.04ID:vAeVV5ou
>>439
CADをキーボードで操る=変な人・・・なのかな?

自分が使う気にならないCADは、DragとかMoveでカーソルキーを
使えない(マウスでしか動かせない)タイプのもの

これができないと、Zoom Out した状態で1グリッドだけ移動させるような
作業がすごくやりづらい
0442774ワット発電中さん
2017/05/22(月) 00:35:38.02ID:vj1XcX+O
打ち合わせの時、プロジェクタ画面でみんなに見られているにもかかわらず
pdfページ中の文字をGoogleで検索するのに、
マウスでズズ〜っと選んで右クリックでコピー、Googleに右クリックでペースト ってやっている上司を見てるとイライラする。

マウスでズズ〜っと選んで → 言葉の上でダブルクリックで選択
右クリックでコピー → CTRL+C
Googleに右クリックでペースト → CTRL+V
でやれよと、いつも言ってやるんだが (心の中で)
0444774ワット発電中さん
2017/05/22(月) 05:20:50.20ID:8PZhojHc
>>442
そんな上司のIT力が分ったとき、なんかガッカリだよな。
0445774ワット発電中さん
2017/05/22(月) 08:29:20.88ID:P2z/UNHg
それが組織にとって損失だと考えているなら、心の中に留めておく時点で、
損失がわかっているのに看過している、ってことになりませんかね。

それを口に出すとあなたの立場が悪くなるのだとしたら、コンピュータリテラシー以前に、組織として問題がありそうな気がします。
逆に上司の方がそういう指摘を歓迎するような人なのに、あなたが言わずにここで書いてるのだとしたらなんだか性格が悪いですね。

だけど、>>442の操作はどちらでも許容範囲だと思います。つまり、どちらのやり方でも大した損得にはつながりません。
カナ打ちでもローマ字打ちでも、親指シフトでも、キータイプの効率の優劣が仮にあるとしても、
作成されるファイルの質は別の能力に左右されるところが大きいのと同じです。
許容範囲なことで他人にやり方にイライラしてると多様性を認められなくなりますよ。
0446774ワット発電中さん
2017/05/22(月) 08:48:23.84ID:XQH/XKng
そんな事に一喜一憂することこそ無駄以外の何物でもない
著しく効率が悪いなら指摘は必要だがコピペ如きでイライラならただ了見が狭いだけ
0447774ワット発電中さん
2017/05/22(月) 15:52:09.17ID:6ERAFUqX
>>442
左手でポテチつまんだままよくやるけどね
右手だけで済むからキーボード汚れないし

アンタご推奨の方法は両手必要で、マウスもキーボードも必要

つまり、俺には論点が不明
0448774ワット発電中さん
2017/05/22(月) 16:33:38.54ID:Ha+3CMug
>>442
> マウスでズズ〜っと選んで → 言葉の上でダブルクリックで選択
必要な選択がされないことも多々ある。

てか、人それぞれのやり方で良いと自分は思う。
自分のまわりには、優秀だけど操作とろくさい人も何人かいる/いた。
0449774ワット発電中さん
2017/05/22(月) 19:34:02.84ID:KTARdgXA
>>447
ポテチは箸で食べるもの
0450774ワット発電中さん
2017/05/22(月) 19:37:00.86ID:DSXPO6EP
そりゃダメだ
箸とマウスと持ち替えにゃならん
右にマウス左にポテチ
0451774ワット発電中さん
2017/05/22(月) 19:41:16.61ID:ucN8NNYc
>>450
右手でメモを取りたいから、マウスは左手で操作するという、右利きの人知ってる。
0452774ワット発電中さん
2017/05/22(月) 20:34:00.00ID:nNtpZVV3
>>442
Firefoxで開けば、ダブルクリックで選択、続けて右クリックメニューで
Google検索できる、って教えてやりな
0453774ワット発電中さん
2017/05/22(月) 22:16:40.39ID:KTARdgXA
>>450
右の頬を叩かれたら左で箸を持て。
0454774ワット発電中さん
2017/05/25(木) 08:42:58.98ID:V2ujDLcn
絵がCopy・pasteで貼り付けできるFree回路CADってない? 
0455774ワット発電中さん
2017/05/25(木) 14:51:21.67ID:aFniOnP+
OrCADは、どうですか?
世界中で使われていますし。
0456774ワット発電中さん
2017/05/25(木) 15:43:44.68ID:IULUBqY5
昔のバージョンが違法コピー出回ったからな
0458774ワット発電中さん
2017/05/25(木) 18:19:47.10ID:L9ghVHE+
>>455
半導体メーカーの参考回路図の複雑なのはOrCADが多いとか、使ってる会社が多くてデータ交換に便利とか。そんなとこかな。
電子回路のMS-Officeみたいなもん。
0459774ワット発電中さん
2017/05/26(金) 04:11:43.31ID:xZPzHuAD
>455
OrCadはCopyPasteではできないでしょ。最近のはできるのかな?
 Pictureを選んでロードはできるけどそれだとメンドクサイのよ。
画像をコピー
ペイントに貼り付けしてBMPで保存
OrcadのPictureモードで取り込み
この3ステップ。もしこの3ステップが1ステップになるなら、買うけど。
0460774ワット発電中さん
2017/05/26(金) 06:54:29.13ID:JjgX2Ua9
>>459
その機能の有無でOrCADを買うかどうかが分かれるのですか。
決して安くはないですよね…。
とても頻繁に、回路図に画像を貼り付けておられるのでしょうか。
0461774ワット発電中さん
2017/05/26(金) 07:06:00.29ID:J85LsKvx
そんなのpdf化したときに貼ればいいと思うんだけどなー
ネット吐くためのツールに何故それを求める?
0463774ワット発電中さん
2017/05/26(金) 09:01:16.36ID:xZPzHuAD
>460
いや結構大事なことだと思うよ。現実に海外の優秀な企業の回路図を眺めてみると
回路図への参考図の書き込みが非常に多い。(例えば嘗てののHP、IBM、TI、、、)
配置図なんかも回路図にはいっていたりする。最初は違和感があったが、やはり
書き込みが多いと、現場での調整などで直観的にわかりやすい。

 回路図は製造目的もあるが、開発時のデバッグや、メンテを意識したものもある。
自分の場合はとくにデバッグを意識している。

 例えば間違いそうな部品の場合にはその注意書きも重要だけど絵で示すのがもっと
もいい。
0464774ワット発電中さん
2017/05/26(金) 09:14:55.20ID:xZPzHuAD
>そんなのpdf化したときに貼ればいいと思うんだけどなー
>ネット吐くためのツールに何故それを求める?

それは手間だよ。回路修正が入るたびに貼り付けしなくてはいけない。めんどくさい。
回路図を書く時に、書きながら絵をみることも多いよ。
そうすると間違いがすくない。

まあ同じプロジェクトを開いたときに、PDFなども混在できれば問題ないといえば
もんだいないけれども、やっぱ回路図に一寸した絵があると調整とか設計が格段に
スピードアップする。
0465774ワット発電中さん
2017/05/26(金) 09:18:17.46ID:xZPzHuAD
>パワポかビジオでいいだろ。

どっちも嫌いなソフトやな。ビジオは最も腹立たしい。w
ビジオには相当の時間をつぎ込んで慣れようとしたが、駄目だったな。良さげにみえる
が実態は駄目だな。手に馴染まない。糞過ぎる。w
0467774ワット発電中さん
2017/05/26(金) 11:11:12.49ID:AdZOxKce
>>466
それよりイラレでしょ
0468774ワット発電中さん
2017/05/26(金) 11:30:25.01ID:AdZOxKce
ちなみに、OrCADで、普通は、
R123
10k
と表示されますよね。それを、
R123
10k
1/8W
1%
などと表現したいんですが、どうすればいいのでしょうか?
もちろん、BOMの中にも反映するようにです。

やり方が分からないので、今は文字を手動で置いています。
なので、部品表を作るのに丸一日かかってしまうんです。
0469774ワット発電中さん
2017/05/26(金) 13:02:35.14ID:L4Ukc+yf
>>468
ライブラリを編集して、デフォルトで表示されるようにする。
BOMは追加した項目も吐き出す様に、デフォルトのフォーマットを編集して実行する。

ただ、俺だったらポータビリティが悪くなるから、
R123
10k,1/8W,1%
と書くけどね。
0470774ワット発電中さん
2017/05/26(金) 15:34:12.23ID:xZPzHuAD
>468
bom形式はいろいろあるのを知ってるか? ソフト処理しやすい形式でアウトして
ソフト処理するとボタン一つで部品リストが出来上がる。
つまり 
r1 10k,1/8w,1% aaa bbbb cccc
r2 5k xxx ddd kkk
こういう出力をだせばスペースで区切って取り込んで第2項をディフォールトと詳細有
にソフト処理すれば自動的に部品リストができる。
0471774ワット発電中さん
2017/05/26(金) 18:53:13.92ID:8LP0w7eb
おふたりとも、ありがとうございます。
なるほど納得です。
ただ、文字のかたまりが大きくなってしまい、
狭いスペースに書くとき、配線に被ってしまいます。
R123
10k
1/8W
のように、3つに分かれていると、
個別に移動できますし、けすこともできます。
それは
0472774ワット発電中さん
2017/05/26(金) 20:03:45.68ID:J85LsKvx
bomだけでなくてネットリストも項目を増やすことは可能?
ワット数や誤差の項目を別にするとAWCADが対応していない事はないのかな?

分けるとCADによっては不具合が起きそうで俺はその使い方はあまり好きになれない。
どうせやるなら拡張した項目は正式型番(KOAならRK73B1JTTD…みたいな)を入れてbomからの部品表を作りやすくしたい。回路図上ではもちろん非表示で。
0474774ワット発電中さん
2017/05/26(金) 22:03:11.34ID:TpFbVSTD
>>471
省略すれば?

1/8W 1%って極普通の抵抗。
俺はトップページに「指定無き抵抗は1/8W 1%とする」と書いといて、個々に指定しない。
こうすると1/2Wとか、0.1%とか、よく目立つからこっちのほうが好み
0475774ワット発電中さん
2017/05/26(金) 22:08:43.08ID:TpFbVSTD
>>472
> 拡張した項目は正式型番(KOAならRK73B1JTTD…みたいな)を入れて
OrCADのスプレッドシート(だっけ?)を開いたこと無いの?
OrCAD CISがある環境で書かれた奴は型番も入ってるよ。
0476774ワット発電中さん
2017/05/29(月) 16:27:03.18ID:8C0DxB6z
>>475
そうなんですか、、それは勉強不足でした。
そこって本来、資材購買部的な部署がオンラインで入力するとこでしたっけ?
生憎当社は弱小企業故CISの機能付きでの契約は無いんですが。。
0477774ワット発電中さん
2017/05/31(水) 23:20:41.28ID:78JP9Rko
10k
1/8W
1%

10301Fとでもするかな
1/8W・・・0.125だから01
1/4W・・・0.25だから02
1/2W・・・0.5だから05

誤差1%・・・F
誤差2%・・・G
誤差5%・・・J
誤差10%・・K

部品表出したあとでsedでもawkでもperlでもrubyでも使って変換してやりゃいい。
0479774ワット発電中さん
2017/06/01(木) 03:41:30.59ID:HaSE6i9y
抵抗のライブラリに、以下のことが書き込まれていて
・リファレンス1 R123
・リファレンス2 R123
・値      10k
・誤差     1%
・ワット数   1/16w
・メーカー名  ROHM
・型式     MCR03EZPF103
・サイズ    1608
通常の回路図に表示する項目をチェックボックスで選んで表示。
BOMには、すべての項目が出てくる

リファレンスが2つあるのは、入り組んだところに書く場合、たとえば
ダンピング抵抗のように線の途中にシンボルだけ入れたいとき、
抵抗のシンボルの隣にはR123だけを表示して、
少し離れた空き地に、改めてR123 / 10k / 1/16W と書けるように。

なんてことは出来ないのでしょうか。(OrCAD Capture 17.2)
0480774ワット発電中さん
2017/06/01(木) 05:50:10.62ID:9NJrIS8Q
>>479
各部品のプロパティで、表示させたい項目をVisibleに変更する。
個々が嫌ならライブラリを編集。

でもねぇ、部品の定数とかメーカとか、回路図上でリキ入れても…
0481774ワット発電中さん
2017/06/01(木) 06:12:17.08ID:+VvbdIZF
大昔のDOS版OrCad SDT-IVの頃には、includeファイルを作成しとくと
BOM作成時に >>477みたいな PartsValueの暗号(文字列)を
'ROHM MCR03EZPF103 1608'というような文字列に置き換える
(正確には付加する)方法があったんだけど、今のはそういうのないのかな?
0483774ワット発電中さん
2017/06/02(金) 23:43:19.63ID:e0rRh7ga
>>482
ほほう、どうすればよかったのかな?
0484774ワット発電中さん
2017/06/03(土) 08:56:49.53ID:uZebBrwr
>>153
確かにそういう嫌いは否めないけど、設計思想からしてあくまで回路屋が牛耳るもんなんで言わなくてもできるだろ?的なのは駄目でしょ。
AW屋には電気知らん人大勢いるし、電気知ってても回路屋の細かい設計思想は伝えないと解らないし立ち入れない。これは回路屋同士でも同じだよね?よっぽど簡単な回路なら別だけど。
ここのパターンはどうしても拘りたいって箇所(スイッチング電源とか高周波とか)なら場合によっては図面指示かガーバー支給だな。
それと、納期の関係でokはAW屋の工程の納期を守ってる限り、検図すっ飛ばすあんたの責任だぞ。
AW屋は基板完成までの工程に関わる業者のなかでも最もコンプライアンスを厳守するのでそう言うもんだと認識したほうが胃壁にダメージすくないぞ。
お金がー決済がーとかは知らん。
0485774ワット発電中さん
2017/06/03(土) 09:11:04.38ID:i3Y5/h55
基板設計屋さんに動作の責任まで負わせたらダメだろ。
そういう契約しているなら別だけど。

特殊基板の共同開発って話で基板設計屋と期間契約するって話は聞いたことがある。豪勢だ。
金額を聞いたら、通常の基板設計ではありえないようなもので、縁のない世界だと思った。
0486774ワット発電中さん
2017/06/03(土) 11:03:37.63ID:CoywnRRh
>>483
詳細な指示付きでアートワークを依頼する

別のアートワーク屋に切り替える
0487774ワット発電中さん
2017/06/03(土) 12:21:03.16ID:yIyt6/iy
>>484
> ここのパターンはどうしても拘りたいって箇所
俺の場合そういうときは、びっちりコメントを書き込んだ回路図と設計ルール書を携えて、赴いて打合せしてる。
(重要な基板の場合だけね)

話するとメンバー全員と共通認識が出来るし、乗り込むことでこっちの本気度も伝わるし。
なにより後のトラブルを思えば、半日時間が潰れても安いもん。
検図も楽になるし。
0488774ワット発電中さん
2017/06/03(土) 23:27:36.54ID:N3wQb0aT
最終目的の違いでしょ。
最終的に製品として完成させなければならないメーカ(依頼主)と
ガーバデータを送付したらおしまいの基板設計屋とじゃ食い違って当然。
このことを行間含めて理解できれば>>153がアホだなってのがわかる。
0489774ワット発電中さん
2017/06/03(土) 23:34:11.76ID:N3wQb0aT
>>487

>乗り込むことでこっちの本気度も伝わるし。

いや、、これはただうざいだけ。うざいからさっさと終わらそうってだけだよ。
基板設計屋は1人で複数の設計案件抱えてるケースが多いからつきっきりやられると
他社の案件できなくなって非常に迷惑。
0490774ワット発電中さん
2017/06/03(土) 23:45:19.19ID:N3wQb0aT
>>484

>AW屋には電気知らん人大勢いるし、電気知ってても回路屋の細かい設計思想は伝えないと解らないし立ち入れない

最近は逆の現象がおきている。電気磁気学を理解していない回路屋が増えている。
指示どおり基板設計すれば満足するんだろうけど、さすがに間違った指示やわかってない指示は設計してて気分が悪いから、
間違いを指摘するんだが理解してくれないやつがいてほんとめんどくさい。

それと、あたらしいデバイスなんかは商社やメーカからの情報の方が重要だけど
回路屋の指示ってのはほとんがデバイスのデータシートや扱う信号の規格を読めば書いていること。
0491774ワット発電中さん
2017/06/04(日) 00:28:10.98ID:L354AWxc
>>489
だから何?
受託したからには、まともな品質で納品して当然。
まともに出来ないAW屋が、多すぎなんだよね。

AW屋さんからの売り込みもよくある。「当社では20Gbps基板を設計しました」「30GHz基板を作りました」とかね。
でも大抵、その場でダメ出しが出来る酷いのばかり。

それでも食い下がる業者がいて、こっちのパターン見せてあげてやっと納得とか。
0492774ワット発電中さん
2017/06/04(日) 00:40:04.82ID:7qrqrVwL
へぇー、アートワーク屋に任せれば、設計者よりもEMI対策万全な基板が出来上がるのか。
実装も動作もさせないでそれならすげーや。
0493774ワット発電中さん
2017/06/04(日) 01:18:02.33ID:dIFtIIgS
まともに設計出来ないで設計名乗るな
ハカリり事とかジライとか設けてるようにしかみえん
0494774ワット発電中さん
2017/06/04(日) 02:23:48.26ID:8/PrbDvB
>>491
>受託したからには、まともな品質で納品して当然。

何を持ってまともとおっしゃる?
0495774ワット発電中さん
2017/06/04(日) 03:39:22.32ID:SZEVb7Sy
部品実装あがってきた基板を動作させて、ちゃんと動作しないとき
基板屋さんに「うまく動かないので、ちゃんと動作するパターンに修正してください」
と言ったら、どうなるんかなぁ。(言ったこと無いけど)
0496774ワット発電中さん
2017/06/04(日) 05:32:26.20ID:L354AWxc
>>490
> データシートや扱う信号の規格を読めば書いていること。
読まないAW屋、多すぎ。

>>494
たった三つだけだよ。
・電気的特性を無視した配置・配線をしない事
・発熱を無視したエリア・パターン・配置にしない事
・部品実装を無視した配置にしない事

最後の実装を語れば「一つだけ裏面実装とか勘弁しろ。実装機のセットアップ費、考えて無いだろ?(怒)」
たく、1円の部品実装するのに、どんだけ余計なコストを使わせる気だよ?
0497774ワット発電中さん
2017/06/04(日) 08:52:34.92ID:L/2LcMda
L/O、A/Wのことを意識しないで、漫然と回路を引いていたなぁと、自分でA/Wまで
やるようになってから良く思う。
0498774ワット発電中さん
2017/06/04(日) 09:16:45.80ID:4m/kElwf
>>491
>受託したからには、まともな品質で納品して当然。

これって「お金をとって商売している以上、俺が満足する接客サービスをして当然」と
コンビニでクレームつけてるのと同じなんじゃないでしょうか。
求めている仕事をしてくれるところを選ぶのが先のような気がします。

「〜ができます」と自社を過大評価をする営業が気に入らないのはよくわかるのですが、
これに対する不満のぶつけ方もおかしいことが多いのです。
0500774ワット発電中さん
2017/06/04(日) 13:51:22.80ID:w4HAMrHU
>>496
>・電気的特性を無視した配置・配線をしない事
>・発熱を無視したエリア・パターン・配置にしない事
>・部品実装を無視した配置にしない事


回路図読んで判断しろと?
部品の発熱まで考慮して??
そこまでアートワーク屋にやらせるの?

そんなの回路図引くやつ奴が具体的に「指示」すべきことなんじゃないの?
アートワーク屋にお任せで繋がってればいいようなもんじゃなきゃさ。
0501774ワット発電中さん
2017/06/04(日) 14:06:38.85ID:SZEVb7Sy
>>500
でも、1回目の校正で、すでに「どうしようもないパターン」が出来上がっていて、
今から直すと納期までには無理と言ってくるし。
もう少し頭使いましょうよ
0502774ワット発電中さん
2017/06/04(日) 14:28:15.03ID:w4HAMrHU
>>501
ん? アートワーク屋が指示通りの実装をしてこなかったってこと?
なら依頼する方がどんなに頭使っても無意味だから次から業者を変えるべきだろう。

指示通りの実装になってるが、他が気に入らないっていうんなら、お前の指示が足りないだけだ。
0503774ワット発電中さん
2017/06/04(日) 15:05:16.35ID:4m/kElwf
その「どうしようもないパターン」にならないだけの十分な指示はされたんでしょうか、って気がしますが、
営業さんが「任せてください、回路図を見りゃうちのパターン設計担当者はバッチリですよ」なんて言ってたりして。

これに近いことを言う営業さんってよく見ますよね…
0504774ワット発電中さん
2017/06/04(日) 15:12:51.64ID:9xWNTVOg
>>491
反対にこっちが「だから何?」なんだが・・・
AW屋にマウンティングしてどうすんだよ。
仕事出したくないら間に合ってますの一言でいいじゃん。

>>495
問題の切り分けできていて回路に問題がないと言えるなら有りでしょ。
だけど、実際は検図時にお互いにわからなかったわけだから回路屋とAW屋が共同で思考するよね。

>>496
電気特性はなぁ・・
確立されている内容ならともかく、同じデバイスつかってもA社とB社で考えが違う場合あるし。
基本、今の回路屋は動作しているからOKなんだよね。
発熱についてはファンの位置とか筐体への取り付け方とか詳しく指示しないとダメよ。わかるわけない。
実装についても最初に思想伝えてる?
0505774ワット発電中さん
2017/06/04(日) 15:15:12.79ID:9xWNTVOg
AW屋は依頼主から与えられた情報、条件の中で最善の設計を行うのが仕事。
だから、遠慮しないでどんどん情報を開示してくれよ。
0506774ワット発電中さん
2017/06/04(日) 15:26:33.89ID:ce/pP6zW
>>504
>問題の切り分けできていて回路に問題がないと言えるなら有りでしょ。

ねーよ。
指示通りのパターンなのに動かないのは回路屋の責任。
指示した通りにできていないのならアートワーク屋の責任だが、検図はなんだったのかと。
どっちにしろ、「こう変えろ」と指示するのは回路屋の仕事。
0507774ワット発電中さん
2017/06/04(日) 15:46:27.21ID:4m/kElwf
>>495
>部品実装あがってきた基板を動作させて、ちゃんと動作しないとき
>基板屋さんに「うまく動かないので、ちゃんと動作するパターンに修正してください」
>と言ったら、どうなるんかなぁ。(言ったこと無いけど)

俺、たまに言いますよ。修正を要求します。本当に言ったことないのですか?
お客さんもありますし、動かないのをほったらかしにしたりすることなんてないです。
見積もりが返ってきて、金額交渉や細部の打ち合わせなどをして修正の発注を出すわけですが。
0508774ワット発電中さん
2017/06/04(日) 15:57:52.47ID:ce/pP6zW
>>507
>>基板屋さんに「うまく動かないので、ちゃんと動作するパターンに修正してください」
>>と言ったら、どうなるんかなぁ。(言ったこと無いけど)

>俺、たまに言いますよ。修正を要求します。本当に言ったことないのですか?

俺的には「ちゃんと動くようにしろ」なんて無責任なことは口が裂けても言えんわ。
回路屋としては原因を追求して「ここをこうしろ」と指示するのが当たり前。
分かんなくても何か有効そうなアイディアがあれば「ここをこうしろ」と指示する。

てゆーか、アートワーク屋にどんだけ高価いカネ払ってんのかね?
0509774ワット発電中さん
2017/06/04(日) 15:58:06.23ID:9xWNTVOg
>>506
その指示が問題でな。
何年回路設計してんねんてな感じ。
客だからそうは言わないけどあーあって思ってる。
0510774ワット発電中さん
2017/06/04(日) 16:10:59.30ID:4m/kElwf
>>508
俺も「ちゃんと動くようにしろ」なんて言いませんよ。書いてないし。

トラブル検証をしたあとのことなんで、「ちゃんと動作するパターン」はこっちから指示するのですが。
最初からわかってれば最初から指示しますが、まあ、それは理想かな。

当然ですが「ちゃんと動く」ことを基板屋さんに保証してもらうことは期待してません。
でも、修正依頼においてパターンを指示するときに、ちゃんと動くことを期待せずに発注することもありませんよ。
それなりにコストもかかるもん。
0511774ワット発電中さん
2017/06/04(日) 16:13:47.66ID:7qrqrVwL
利害が対立する別会社にAWだけを分業する意味あるの?
0512774ワット発電中さん
2017/06/04(日) 16:15:09.56ID:4m/kElwf
>>509
耳が痛い。

良い指示は、誰が設計しても同じパターンになる設計をもたらすものだと思います。
翻って自分の指示書を見てみれば、たぶん10人の設計者が10種類のパターンを作るでしょね。

でも、それを承知の上でやってる面もあります。
たぶん、システムを発注してくれるお客さんの仕様書も似たようなものなんだろうと思います。
0513774ワット発電中さん
2017/06/04(日) 16:46:15.43ID:ce/pP6zW
>>510
>トラブル検証をしたあとのことなんで、「ちゃんと動作するパターン」はこっちから指示するのですが。

であるのならば、

>>507 = >>510
>>基板屋さんに「うまく動かないので、ちゃんと動作するパターンに修正してください」
>俺、たまに言いますよ。修正を要求します。本当に言ったことないのですか?

わざわざ「ちゃんと動作するパターンに修正してください」とは言わず、「こう変更してください」とふつーに指示するだけっしょ?
0514774ワット発電中さん
2017/06/04(日) 16:59:47.46ID:OsCJq6gz
自分でも偶にアートワークをやるので、酷いのが上がってきても「俺よりはましか」と
我慢する。w
0515774ワット発電中さん
2017/06/04(日) 17:21:19.49ID:xzgznVnG
そんなに不満なら他所の会社に丸投げすればいいじゃない
0516774ワット発電中さん
2017/06/04(日) 17:38:53.35ID:4m/kElwf
>>513
他人の表現が自分の表現と一緒でないと気に入らない人が存在することは知ってます。
0517774ワット発電中さん
2017/06/04(日) 17:42:05.98ID:4m/kElwf
>>514
俺もそんなところはあります。
簡単な基板だと自分でやってしまうのですが、基板設計のプロの仕事は実戦で鍛えられてるなあと感心することが多いですよ。
0519774ワット発電中さん
2017/06/04(日) 17:52:05.04ID:L354AWxc
>>498
> コンビニでクレームつけてるのと同じなんじゃないでしょうか。
なにを甘い事を言ってんの?
こっちも客に対して「受託したからには、まともな品質で納品して当然」で対応してんだよ。

「AW屋が失敗したからごめんなさい、許してください」なんて言い訳が、客に通用すると思う?
0520774ワット発電中さん
2017/06/04(日) 17:56:27.57ID:4m/kElwf
データシートを読むことを基板屋さんに要求できるとしても、
それは、フットプリントなり、サンプルパターンなりで、さらに、ここをこの通りにやってください、とピンポイントで指示するときだけだと思う。
そういう意味だよね? きっと。

回路図とデータシートを渡して隅から隅まで理解してくれ、はムリだと思う。ってかそれができる基板設計屋さんなら、
少なくともソフトのコーダーに相当するような、SIに言われた通りだけの設計しかしない回路設計担当者は仕事を奪われそう。
0521774ワット発電中さん
2017/06/04(日) 17:59:00.42ID:4m/kElwf
>「AW屋が失敗したからごめんなさい、許してください」なんて言い訳が、客に通用すると思う?
それは違うよ。
「AW屋への指示をできなくて失敗したからごめんなさい、許してください」なんて言い訳が、客に通用すると思う?
だよね。

通用しませんね。たしかに。
「ちゃんと指示せんかい」って言われておしまいです。
0525774ワット発電中さん
2017/06/04(日) 18:46:15.52ID:9xWNTVOg
>>520
最近はFPGAを使うからさすがに詳細な動作まではわからないよ。
個々の回路ブロック単位でデータシート含めて回路を理解するところまでかな。

>フットプリントなり、サンプルパターンなりで、さらに、ここをこの通りにやってください、
>とピンポイントで指示するときだけだと思う。

回路屋もサンプル回路そのままだったりする。たまに試行錯誤してサンプル回路を
変形させてつかう人いるけど最終的にはだめだったりw
そういう人好きだけど。
0526774ワット発電中さん
2017/06/04(日) 19:05:39.73ID:L354AWxc
>>513
> 「こう変更してください」とふつーに指示するだけっしょ?
なぜ普通に指示しなきゃいかんの?って問題があるよ。

CPUのパスコン、ある日CPUの隅に固めて配置された事があった。
そんな配置に平気でする担当者のスキルに、それで検図を依頼してくるその会社の品質管理。唖然とした。
当然設計やり直し、納期遅延。常識知らずも、普通に指示しないこっちの責任かい?

ダメ出ししてるのに、DC/DCのインダクタ直下に信号線を配線。曰く、内層でシールドするからこれでいいと。
アホかよ!10円玉とマグネットで、目の前で理科の実験する羽目になったよ。
そんな小学校レベルまで、こっちに説明責任がある?冗談としか思えない。

普通に指示と簡単に言うが、どんだけ指示しないといけんの?
0527774ワット発電中さん
2017/06/04(日) 19:12:34.00ID:Mxc97sC6
でも、結局のところ、アートワーク屋さんが回路知識を付てくれれば、
問題は、ほとんど無くなるんだけどね。

電源、グランドは、とにかく太く引いてくれるので、
モード設定ピンまで ど太いパターン。
考えましょうよ、少しは。
0528774ワット発電中さん
2017/06/04(日) 19:27:41.77ID:4m/kElwf
>>527
>問題は、ほとんど無くなるんだけどね。

回路設計しかしない人の需要もなくなったりして。
実際こういうやりとりはあったし。

基板屋の営業さん「回路設計のお手伝いも最近始めました。CADも回路設計と連動してますし」
俺「新しい人入れたんですか」
基板屋の営業さん「いえー。おなじみの○○と□□ですよ」
俺「ああ、けっこう分かってらっしゃるし」
基板屋の営業さん「でしょう。でしょう。今まで(実装済み)基板の検査のためのジグなんかは作ってたんですよ」
0529774ワット発電中さん
2017/06/04(日) 19:29:03.56ID:ce/pP6zW
>>526
>なぜ普通に指示しなきゃいかんの?って問題があるよ。

それについては、文脈が違うのだけれどもそれは置いといて…

>CPUのパスコン、ある日CPUの隅に固めて配置された事があった。

そこは初めて使ったところなの?
もしそうなら品質読めないから納期設定に余裕を持たせるべきだったのでは?
いずれにしろそういうところに依頼した責任はあるだろう。
依頼先した先がアホだったから納期通りにはできませんでしたっていう言い訳は通用しないからね。

>>526
>普通に指示と簡単に言うが、どんだけ指示しないといけんの?

自分が納得できるものが上がってくるまで指示が必要でしょう。
馴染みならツーカーでほとんどなくてもいいかもしれんし、一見さんならそれこそ事細かに自分の中の設計ルールを説明する必要があると思う。
0530774ワット発電中さん
2017/06/04(日) 19:32:10.68ID:UneyyhGW
だいたいは立場って
下請けの方が立場強いやん?
ヤメダって言われたら
他に代わりありますか?
0532774ワット発電中さん
2017/06/04(日) 20:04:55.32ID:6RhnFDge
>CPUのパスコン、ある日CPUの隅に固めて配置された事があった。
ほんとにいるんだ
これパスコンを端っこにまとめて書いてたんのでなく
ICの電源ピンに接続してればさすがにしないと思うけどどうなんだろう。
0533774ワット発電中さん
2017/06/04(日) 20:07:57.72ID:9xWNTVOg
>CPUのパスコン、ある日CPUの隅に固めて配置された事があった。

ネタだろこれ。
昔から聞くけどみたことないぞこんな設計。
なぜかわからないけど、この業界AW屋を下にみるよね?
パスコンを固めて配置とかそなネタまでデッチ上げてどうすんの?
仮に本当だとしたら舐められている証拠だな。

>でも、結局のところ、アートワーク屋さんが回路知識を付てくれれば、
>問題は、ほとんど無くなるんだけどね。

回路屋もAWのことや基板の知識つけてくれ。

電源、グランドは、とにかく太く引いてくれるので、
モード設定ピンまで ど太いパターン。
考えましょうよ、少しは。
0534774ワット発電中さん
2017/06/04(日) 20:10:30.67ID:9xWNTVOg
途中で送信してしまった

>電源、グランドは、とにかく太く引いてくれるので、
>モード設定ピンまで ど太いパターン。
>考えましょうよ、少しは。

モード設定なんてDC固定でしょ?太くてもべつにいいんじゃないの?
パターン切るわけでもないだろうし。
0535774ワット発電中さん
2017/06/04(日) 20:58:12.49ID:8/PrbDvB
>>534
テキトーな設計なので後で切った張ったするのが前提なのかもしれん
0536774ワット発電中さん
2017/06/04(日) 22:10:36.12ID:4m/kElwf
ID:L354AWxcさんは、俺が想像もできないような過酷な経験をされたような気がしてきた。

1. 仕事を請けた
2. 何をするのかわからないような基板設計者とタッグを組むことに。
3. 詳細を指示した、データシートも提示した、回路図もコメントの山
4. レイアウト検図なしに、いきなりパターン検図
5. まともな設計以前の問題。パスコンが端っこにまとまっていてアングリー。
6. 今から修正をしていたら、納期に間に合わないですよ、うふふ、と言われる。
7. 泣く泣くそのまま進める。
8. 組んでみたらやっぱり動作がおかしい
9. お客さんに責められる
10. 基板屋に言っても何の解決にもならない
11. 2chで愚痴る←いまここ。

異動で新しくきた上司が合理主義者で「外注も見積もり金額でスパッと選べ、昔からのなれ合いはいかん」と言っててしんどい、
という話は聞いたことがある。基板屋さんっていくらかはツーカーな面があると思う。
0537774ワット発電中さん
2017/06/04(日) 22:37:12.95ID:9xWNTVOg
表向きは会社対会社の取引だけど、
実際は個人間の信頼性なんだよね。
お互い合理性求めたら、いろいろ駄目になりだす。
0538774ワット発電中さん
2017/06/04(日) 22:39:31.19ID:b1lWsWYK
見積だけで1社に集中させると購買も納期管理が大変になりそうだ
0539774ワット発電中さん
2017/06/04(日) 23:50:19.92ID:ooJRYJ1G
基板屋と金型屋はいざと言うときに頼れる駆け込み寺を抑えつつ
料金の安い海外も育てなくてはならないのが悩ましい
0540774ワット発電中さん
2017/06/05(月) 02:02:04.22ID:WjU/hiCF
回路設計屋だけど、
基板AW屋さんは実装機や製造過程の事まで知見を使ってパターンを引くし、
自分はその間 他のこともできるので、AWを外注さんにお願いしてる。

でも、自分でやったら、いい物ができるだろうなと思ってる。
意思疎通は完璧、打ち合わせ時間ゼロ、回路知識あり、価値観も同じ、時間のかかる指示書書きもゼロ。

実際のところAWって、自分でやれるようになるのは、かなりハードル高いでしょうか?
0541774ワット発電中さん
2017/06/05(月) 02:46:41.34ID:lEPJxi4j
外から見たら特殊な職制・分業だと思うけどな。SEとPGや歯科医と技工士の関係みたいなところもあるし。
0542774ワット発電中さん
2017/06/05(月) 02:53:00.71ID:BnGMSWzt
>>533
> ネタだろこれ。
と、思うだろ? だが嘘の様な本当の話。
プレーン共振シミュが普通になってた時代で、なんじゃこりゃ!!とたまげたよw

> なぜかわからないけど、この業界AW屋を下にみるよね?
見るよ、何故だか分からない?
あんたはAW屋だろ? そして会社は、ISO9001取っているだろ? 取ってないと門前払いくらうからね。

そこで俺は質問する、「貴社はISO9001に従いどの様な品質管理を行っているのか、レビュー記録を見せて下さい」。
それでエセISO9001認証企業はレビュー記録を示せず、レビューもしておらず、AW品質は担当者のスキル任せなのが露呈する。

そんな会社、驚くほど多い。詐欺じゃん。
0543774ワット発電中さん
2017/06/05(月) 02:59:56.64ID:BnGMSWzt
>>537
> 実際は個人間の信頼性なんだよね。
> お互い合理性求めたら、いろいろ駄目になりだす。

個人間の信頼?ふざけんな。
あんたが寝込んでも、会社としてQCD守ってくれないと客が迷惑被るんだよ。
0544774ワット発電中さん
2017/06/05(月) 03:32:47.49ID:BnGMSWzt
>>536
> 「外注も見積もり金額でスパッと選べ、昔からのなれ合いはいかん」
あるある、必ず相見積にしろと。
あるいは客からPCB CAD指定とか、あまつさえAW業者指定とか。(CPUパスコンはこのケースだったw)

> 3. 詳細を指示した、
AW設計ルール書、全部出すと80ページ位になるよ。中には磁石と10円玉の話もコラムとして入れてある。
(コラム付きのルール書!? ありえねぇ〜と書いた本人も思うw)

すると次にどうなるか?今度は指示書読まないし、読んでも理解してないし┐(-。-;)┌ヤレヤレ

だから>>487に戻って
> 俺の場合そういうときは、びっちりコメントを書き込んだ回路図と設計ルール書を携えて、赴いて打合せしてる。
> (重要な基板の場合だけね)

な事してる。
打合せで説明すれば、設計ルール書の何処の理解がないのか、顔色で分かるしね。
お陰でAW絡みのトラブルは、ほぼ無くなったよ。(←今ここ)
0545774ワット発電中さん
2017/06/05(月) 08:00:33.32ID:kip9nyWl
街にいる鳥や猫でも、同じ種類でも、人が近くにやってきても全然平気な個体もいれば、
すげえ警戒心が強くて逃避距離が長い個体がいる。

育ちや経験で態度は変わる。
逃避距離が長い個体は、人にいじめられたとか、怖い思いをしたとかが刷り込まれているんだろうな、と思うわけで。
0546774ワット発電中さん
2017/06/05(月) 11:47:26.72ID:qKH7X+48
>実際のところAWって、自分でやれるようになるのは、かなりハードル高いでしょうか?

ハードルが高い。かなりメンドクサイからね。ハード設計もめんどくさいがAWは
もっとメンドクサイ。半田付けはさらにメンドクサイ。w
美味しいところを仕事ってると、メンドクサイところはやりたくない。

研究所   :一番おいしい基本設計だけをやって、制作設計は外注する。売り上げ3億
設計製作会社:制作の取りまとめをやる。めんどくさい設計は外注する。 売り上げ3千万
外注設計会社:設計と調整を行う。制作は外注する。          売り上げ3百万
その他下請け会社                          売り上げ30万
 AW会社  :
 基板製造会社:
 実装会社  :
0547774ワット発電中さん
2017/06/05(月) 11:54:09.56ID:qKH7X+48
これほどひどくないか? しかしこんなもんだろうな。俺は下から2番目。w
300万の仕事を喉から手が出るほど欲しいのに、上の方では
「3000万では儲からんのでやめにした」
ってな話をコッソリ聞いてしまった。もちろんその中には機材などが含まれるんだが
絶対に1千万以上にはならない。いいとこ500万。w
0548774ワット発電中さん
2017/06/05(月) 19:12:56.31ID:kip9nyWl
CADについて語るスレで、外注さんとうまくいかないのを愚痴るのそろそろやめよう。

「CADを使う外注さんに関する愚痴なんだから総合の範囲内」なんてことはさずがに言わないだろね。
0549774ワット発電中さん
2017/06/05(月) 20:33:21.21ID:Fa0dmhb/
>>544
そもそもそんなできの悪い業者を選定しているあんたが悪いんじゃないかな?
たぶんAW業界で最下層の設計会社だとおもうぞ。
0551774ワット発電中さん
2017/06/05(月) 22:47:47.09ID:BnGMSWzt
>>549
>>544
> 必ず相見積にしろと。
> あるいは客からPCB CAD指定とか、あまつさえAW業者指定とか
0553774ワット発電中さん
2017/06/06(火) 03:01:40.92ID:2CsDAB1v
近年どんどん基板への要求が厳しくなってるし、今後は設計とAWは分担すべきじゃないのかもね
昔はCADは設備並に高価だったからアウトソースしてたけど最近は色んな選択肢あるし。
0554774ワット発電中さん
2017/06/06(火) 03:52:56.79ID:m+MZaaaX
回路屋はaw、aw屋は回路をか。
食い合いはじまりそうだな。
0555774ワット発電中さん
2017/06/06(火) 05:08:15.56ID:l//l982M
回路屋がAWしても儲けは少ないけど
AW屋が回路したら儲けは多いいから
回路屋は腕のいいAW屋を多く抱えた方がいいのではないか
0556774ワット発電中さん
2017/06/06(火) 06:06:10.65ID:+h02tJdY
あまり人に依存するとその人が辞めた時に破綻しちゃうから
組織として教育がしっかりしてるところで担当者間のバラツキが少ないところが理想
0557774ワット発電中さん
2017/06/06(火) 06:16:21.31ID:mIFnnYFN
世に出る部品は平均的な基板で実装出来て動くように
作ってるんだからレベル要求されるのは設計者だろw

例えばLVDS100Ωだからよろしくなんて書くのバカでも出来る。
具体的にギャップと幅の値出してやれよ、一般的なメーカーでFR4で
歩留まりも狙ったら、これでってひとつくらいなもんになるだろ?
なんでも投げっぱなしかw

回路屋のレベルが下がってるんだよ、おれとお前みたいにな。
0558774ワット発電中さん
2017/06/06(火) 07:21:43.68ID:koPu0BCD
回路もアートワークもどっちもやるようにしたよ。業者になにからなにまで細かく指示するくらいなら自分でやった方がいい。難しそうにみえてやらなかったけどそんなことないし。最近はプリント基板の試作も安く出来るし。
0559774ワット発電中さん
2017/06/06(火) 08:49:43.79ID:zSSlJ6l9
>>557
> 具体的にギャップと幅の値出してやれよ、一般的なメーカーでFR4で
んなアホなw ぬるい仕事してんね。
そのパラメータは生板屋に聞かんの?
同じFR-4でもメーカによって違うし、生板屋のプロセスでも変わるんだからさ。

> 回路屋のレベルが下がってるんだよ、おれとお前みたいにな。
おまえのレベルが低いのは(ry
0560774ワット発電中さん
2017/06/06(火) 15:55:15.93ID:IVHvVobV
AW屋に任せて・・・、なぜ期待通りだったか、或はなぜ期待通りじゃなかったか。
感情が先走った個人的な好き嫌い?
0562774ワット発電中さん
2017/06/07(水) 19:10:42.96ID:MbwIeCSk
この先AIが進歩していって、回路図もA/WもAIがやるようになったときに
いったいどういう設計をするんだろうと思ったりもする。

囲碁でも、人間同士じゃ絶対やらない(ド素人か!というような)ような手に見えるのに、
曲面が進んでいくとすごく良い味になってるなんていうことになってたりしたらしいね。
0563774ワット発電中さん
2017/06/07(水) 19:30:36.56ID:SZRCXAPx
もう基板屋がAWという職を抱えてるのは時代遅れだろう。
基板製造業は、ガーバーを受けて製造だけしていればいいのに。
AWは製造とは分離できても回路とは分離できないんだから。職能的にも。
0564774ワット発電中さん
2017/06/07(水) 20:49:25.15ID:UissSu9o
確かに。回路もソフトも組んでいかないとダメだよね。
そうなると今回路屋している連中はまるなげして
楽な立場になるわけだ。うらやましい。
0565774ワット発電中さん
2017/06/08(木) 19:33:06.24ID:Ed2XAlll
現在でも、必ずしもAW業者=基板製造業ってわけじゃなくて
AW業者経由で基板発注・納品されてても製造を取り次いでるだけの
ケースも多いはず
回路設計者とパターン設計者が一緒に仕事する、のは昔から理想だった
はずだけど、マスプロ製品開発以外でそうなってる所は少ないと思うし
これからもないでしょうね

>>552
昔から、回路もソフトも(筐体設計も)って「電子職人」的な人はいますね
0566774ワット発電中さん
2017/06/08(木) 20:56:54.02ID:FjdnM2Qz
基板設計する人ってのは広く知識なり経験なりもっていなけりゃいけないってのに
現実は開発業務も製造(実装)業務もしたことない人って多いんだよね。
そんな状態ではいくら勉強しても身にしみこんで来ないよ。
逆に言うと、開発業務や製造業務経験のある基板設計者は貴重だってこと。
そういう人見つけたら手放さないようにしなきゃな。
0567774ワット発電中さん
2017/06/08(木) 23:34:08.71ID:uagb1RU8
自分がそうだから、俺はエライとでも言いたいのか?
0568774ワット発電中さん
2017/06/09(金) 06:11:35.84ID:7lVUe+Fm
開発業務経験がある人はわざわざAWだけはしないんじゃなかな
0570774ワット発電中さん
2017/06/09(金) 15:40:02.74ID:Ekn8ZWI7
>>569
まぁ最初はパズルを解きながら、絵を書く様なもんだしね。敷居は低いかな。
ただ凄い人がやるAWは、「よくこんなのが入ったなぁ」とか舌を巻くよ。
0571774ワット発電中さん
2017/06/09(金) 16:09:14.58ID:Q5yaZZVw
いや、入りきるのと、性能は別だよ。
入れる(載せる)だけなら、誰でもできる。
そして実際に動作もするだろうけど、
ギリギリ、たまたま動いているのか、マージンがたくさんあるのか、
2種類作るわけじゃないので、誰もわからない。

だから基板屋さんは変な自信を持ってしまう。
1000ピンBGAを16個載った20層もやりましたよ、はははは。
ってね。
0572774ワット発電中さん
2017/06/09(金) 17:14:01.13ID:ozqE1TKt
>>571
不具合出ない
出てもAWにフィードされない
何がなんだかよく分からない
動いたらまあいい
結局何もわからん
開発屋もパターン屋も
そして次案件へ
0574774ワット発電中さん
2017/06/09(金) 19:48:14.61ID:w4+2uDrH
環境変化とかね。これは回路も同じでマージンの無い設計はヤバイ。
デバッグや検査では見つけられずに市場にでてから発生するからタチが悪い。
一万回に一回のエラーとかもあったり。
0576774ワット発電中さん
2017/06/09(金) 20:18:44.72ID:eReXoD96
>>573
失敗事例の原因究明と対策はしても、成功事例の原因究明と証明を怠るのでは
進歩がい。うまく動作した事を手放しで済ませたら、技術は確立し得えないのだ。
これはAWを頼む方も頼まれる方の双方に言える事だよ。
0577774ワット発電中さん
2017/06/09(金) 20:30:13.42ID:sKqRjh1o
Aさんがお客さんに「こんなものは作れるか」と聞かれた。
Aさんは「できますできます」と言った。
AさんはAW屋さんに「こんな基板は設計できるか」と聞いた。
AW屋さんは「できますできます」と言った。
AW屋さんの仕事は、Aさんの思い通りのものではなかった。
Aさんは2ちゃんねるの【CAD/EDAのスレ】で、AW屋はいい加減なことを言う下に見て当然だ彼らは気楽だと延々と愚痴る。

愚痴るのは人間性の問題なんだが、なぜ「CAD/EDAのスレ」なのだろう。
0578774ワット発電中さん
2017/06/09(金) 21:06:47.72ID:Q5yaZZVw
基板屋とかAW屋のスレって、あるの?
0582774ワット発電中さん
2017/06/09(金) 21:27:38.02ID:sKqRjh1o
>>579
そういうことってありますね。
いろいろ分業していて専門性が高い人たちがそれぞれの仕事をやっている、といえば聞こえがいいのですが、
社内で情報を交換するみたいに、他の業者さんとノウハウを交換するのって限界があります。

統合型CADを社内で運用すれば良いことがある、はCAD屋さんの言い分ですが。
0583774ワット発電中さん
2017/06/09(金) 21:29:42.91ID:sKqRjh1o
>>580
このスレじゃないですよ。
URLの最後に「#new_thread」が見えるでしょ?
0584774ワット発電中さん
2017/06/09(金) 21:30:44.06ID:sKqRjh1o
自分にアンカーつけてどうする。
>>581
このスレじゃないですよ。
URLの最後に「#new_thread」が見えるでしょ?
0586774ワット発電中さん
2017/06/09(金) 22:12:12.82ID:bzbhRpkE
>>569
AW屋唯一の挽回策がシミュレータかもね。
某基板メーカはそれで回路屋に頼られる存在になったね。


>>570
デジタル回路の設計だってパズルみたいなもんだなんだけどね。
0587774ワット発電中さん
2017/06/09(金) 22:27:47.35ID:sKqRjh1o
>パズルみたいなもんだなんだけどね。
基板にレイアウトしていったら、いろいろ不都合が出てきて回路を変更してとか、
半端なところにグルーロジックを置くときとか、回路と基板を行き来するときもパズルですね。
0588774ワット発電中さん
2017/06/09(金) 23:07:22.73ID:Sl4fSnEW
>>586
> 某基板メーカはそれで回路屋に頼られる存在に
そこは大阪の会社?
なら、俺と同じとこに委託してたりして(笑)

いや、実際、かなり頼ってる。
なにせ昨今のシリアルバスは、回路図上はただの2本線でしかないし。
0590774ワット発電中さん
2017/06/10(土) 12:14:25.86ID:LlUtRhre
本題に戻った途端にレスなしかよw
エリートエンジニアは土曜日も仕事ですか?
三流aw屋の俺は休みだよ。
0591774ワット発電中さん
2017/06/10(土) 13:34:25.05ID:EiK76zA3
だって、部品載せれば終わりなんでしょ?
0592774ワット発電中さん
2017/06/10(土) 13:42:15.86ID:W+DjHiSY
そいういうのやめようよ・・
なんでお互いを尊重できないかね・・
0596774ワット発電中さん
2017/06/10(土) 15:54:57.59ID:+0JG+q9Y
オレ全然業界違うから、AWが一般的に基板製造会社の一部だと言うのに驚いた。
この場合AW設計費は基板製造費に含まれるの?それとも別途見積もられてるの?
0597774ワット発電中さん
2017/06/10(土) 15:59:55.68ID:SBNs3wom
>>596
俺がしっているところだと、見積もりは設計と製造で別書類ではなく全体一括になっていて、
内訳明細の中に設計費が入っていたりします。

(いくつかの業者さんがだいたいこんなパターンです)
0598774ワット発電中さん
2017/06/10(土) 16:03:23.79ID:DwFPsn4u
そういうやつは、たいていAW屋が自社で設計したデータを
外部の基板製造会社に製作委託してるケースがほとんどでしょ
で請求はAW屋が基板製造会社に払う分も含めて代行請求する
0599774ワット発電中さん
2017/06/10(土) 16:30:32.28ID:SBNs3wom
>>598
今まで下記4通りのところと仕事をしたことがあります。

1. そのケース
AW屋さんが取り仕切っていて、基板製造は外部に出す。

2. その逆のケース
基板製造会社が取り仕切っていて、組んでるAW屋さんに出している

3. 基板製造会社の社内でAWもやってるケース

4. 完全な仲介業者
注文を受けたら、組んでるAW屋さんに設計を出し、上がってきたら、適切な基板製造業者に出す
0600774ワット発電中さん
2017/06/10(土) 19:14:30.17ID:8/weRB7R
>>599
AWだけだと利幅が少ないから、基板製造とセットにしてんでしょ。
量産になれば、さらに美味しいし。

で、俺の場合はその4つのどれにも当てはまらない、AW屋も基板製造もこっちで選ばせてもらってる。
AW品質も、基板品質も、妥協はしない(キリッ
0601774ワット発電中さん
2017/06/10(土) 21:52:45.77ID:SBNs3wom
>>600
>AW屋も基板製造もこっちで選ばせてもらってる。

それも良いのですけど、少なくとも小ロットなら部品実装までやってくれるところにまとめてお願いするする方が安心かも。
実装の制約を分かった上で基板設計をやってくれますし。
0602774ワット発電中さん
2017/06/10(土) 23:17:59.29ID:8/weRB7R
>>601
それには一理あるけど、痛い目に会ったからしないw

あるとき実装まで頼んだ。
駄菓子し、ラインが混んでいるからと別の下請けに実装が回された。
その下請け、手載せに手ハンダで作ってくれちゃったからサァ大変。部品間違いはあるは、半田不良はあるは(怒)

部品を集め直して、再製作する時間は無し。
一ヶ所づつ、全基板の部品と半田付けの確認。やれやれな話。
0603774ワット発電中さん
2017/06/11(日) 00:13:15.51ID:haCYhrxy
>>602
ハズレ過ぎるw
実装屋でaw持ってるところでも
糞みたいなawはあるがそこ迄は経験ないわ
0604774ワット発電中さん
2017/06/11(日) 00:30:16.14ID:fNY+ydhq
>>602安かろう悪かろう。
三途の川の渡し賃ケチってなんとやら
特急料金積めば済んだハナシ
0605774ワット発電中さん
2017/06/11(日) 00:58:42.38ID:qnTULP2N
>>600
そこを分ける?一緒の方が安心できるような。製造側の装置の限界やQC情報の反映とかできるし。よほど自分に知識と経験がないと分けるのは怖い。未知のトラブル出た時、どっちもサポートしてくれなかったりとか。
0606774ワット発電中さん
2017/06/11(日) 01:49:49.07ID:bwE7t81+
量産の場合、AW費用は開発費に相当するから製造原価に含められないですね。
つーか、ここで話題になってるのってほとんど試作回路の発注だから、どっちにしろ
全部開発費か。
0607774ワット発電中さん
2017/06/11(日) 02:22:27.00ID:fNY+ydhq
量産隔月で月産5枚でとか
量産言わんわっ!とかいう発注がFAXで舞い込んできたりしますが
アンマリ愚痴言ってもスレが違うから焼酎にレモン入れて今日は寝る
0608774ワット発電中さん
2017/06/11(日) 04:41:31.72ID:dhmsO5bA
>>605
> 製造側の装置の限界やQC情報の反映
あれ?もしかして、製造側の制約をAW屋さんに渡さない人なの?
例えば1005なら何mm置きまで良いとか、認識マークの置き方はどうとか、ソルダーパレット用に周囲のチップ部品は何mm離さなければいけないとか、そんな制約情報だけど?

こっち(回路設計屋)も量産コストは大事。安価に品質よく実装する戦いwは部品選定から。
そこを飛ばしてAW+実装屋丸投げって、逆に怖いわ
0609774ワット発電中さん
2017/06/11(日) 05:06:09.13ID:VYMciMNJ
確かに丸投げは楽だろうけど、何されてるか
分からんし、己れに知識が蓄積されんしな。
俺は全部バラバラの会社に頼んで俺がコントロールしてる。大変だけど、勉強になるし、
不具合も炙りだすことができる。

アウトソーシング会社はまる投げ前提で売り込みに来るから、この方針を伝えると、へ?
てな顔になる。
0611774ワット発電中さん
2017/06/11(日) 16:59:25.56ID:V9O3zUA6
>>608
QC情報の反映は?
結局アナログの世界だし、製造装置のばらつき特性見た上でAWも最適化した方がいいと思うけどな。
0612774ワット発電中さん
2017/06/12(月) 02:51:29.82ID:uCHvu1kA
>1. そのケース
>AW屋さんが取り仕切っていて、基板製造は外部に出す。

AWはリスクが大きい。だから利益の掛け率が高いのは当然だが、その掛け率で
基板製造にも利益を載せる。−−−>値段が高く不透明になる。
儲けてる会社はこのタイプが多い。

>2. その逆のケース
>基板製造会社が取り仕切っていて、組んでるAW屋さんに出している

基板屋に仕切られたAWさんは悲惨。安くこき使われる。−−−>その分安くできる。

>3. 基板製造会社の社内でAWもやってるケース

量産思考の製造ってのは、設計的な風土がないので社員としてAWを社内に抱えると
うまく行かないように思う。ーーー>技術レベルが低くてトラブルが多い。

>4. 完全な仲介業者
>注文を受けたら、組んでるAW屋さんに設計を出し、上がってきたら、適切な基板製造業者>に出す

部品屋がやってるケースが多いように思う。丸投げするときはいいかも。
0613774ワット発電中さん
2017/06/12(月) 12:38:43.21ID:ObzWQCEf
客の指定で基板製造会社というか製造含めたEMS(大手)にAW頼んだことがある
部品配置の段階で一度見せてくれと、普通の要求しといたら
EMSの担当者が2時間かけてわざわざ持ってきた

普通だったら、この段階でもU3とかR110とかのIDは部品の近くに
きちんと配置されてるわけだけど、まったくデタラメな場所に
あって、どれがどれだか全く識別不能
何とか想像働かせて打ち合わせの場で解読したら、配置自体??だらけ
聞いて見ると、その会社では部品の配置までは筐体の設計者が担当してる
ということ(ラッツネストも見ずにやってるかも)

で、試作品の組み立てまで一括発注だったんだけど、納品までに
検査仕様書くれって言う
(いや、まだ動かしてないんですけど・・・ FPGA入ってるし)

あきれたあきれた
0614774ワット発電中さん
2017/06/12(月) 12:52:04.76ID:wA/omw/e
主観で書かれてて、何言ってるのかさっぱりなんだが
書き直し

大手の仕事の仕方に付いていけませんでしたので退職したいです
ってこと?
0616774ワット発電中さん
2017/06/12(月) 19:27:26.96ID:r+WecU2b
俺はわかるよ。
回路屋はコミュ症多いから、これくらいは理解しないと仕事にならないし。
実際に仕事なら、つまり何々ですよね?と
分かりやすくまとめて念を押すけどね。
0617774ワット発電中さん
2017/06/13(火) 07:19:19.44ID:txECfFnF
クリスタルってCPUの近くに配置するけどクリスタルラインの近くの配線は
CPUの内側に引き出すのとすべて外側に引き出すのとどっちがいいの
回路屋さんの好みがあるのかな
0619774ワット発電中さん
2017/06/13(火) 09:22:48.82ID:ydJH5PqW
水晶の下の配線は推奨しないってことですね
0622774ワット発電中さん
2017/06/13(火) 23:25:05.01ID:8XCmlXMs
>>620
誤発振って、何ですか?
0623774ワット発電中さん
2017/06/14(水) 05:00:22.92ID:e3XzNfIg
>>622
クロックにノイズが載るとか、止まるとか。

蛇足
CPUの水晶発振回路は、完全なアナログのコルピッツ発振回路。
そんなアナログ回路はノイズに敏感。
そもそもアナログエリアに他のデジタル信号を通す事、する?しないよね。
0624774ワット発電中さん
2017/06/14(水) 05:15:08.52ID:Ma7ipEAe
ハートレーとかコルピッツ、久しぶりに思い出した
コルピッツって名前がカッコいいなって思った思い出。
0626774ワット発電中さん
2017/06/14(水) 08:15:01.37ID:tP8w9dyL
>>625
>>623だが、実は俺もそう。
水晶は安いんだが、品質保証するには温特とか発振許容とか、真面目にやるとメンドイw
MEMS載せたほうが早い

(あれ?ここは何のスレ?)
0627774ワット発電中さん
2017/06/14(水) 08:36:32.95ID:aEv78dTC
基板レイアウトの話ってことなら、外注が云々よりよほどスレの主旨に沿ってるかも。
0633774ワット発電中さん
2017/06/15(木) 17:50:56.52ID:/HciVuAJ
>>632
PADS使ってるけどなんで2位なんだ?
調べたいことあっても国外のコミュニティ覗かないと中々わからんのに
0634774ワット発電中さん
2017/06/15(木) 19:31:50.66ID:LU377QfG
明らかに機械翻訳の記事見て日本の話と勘違いしちゃってるの?
0635774ワット発電中さん
2017/06/15(木) 20:42:55.67ID:60JUnCFG
日本なら図研入るだろうしね。
でもこれってゴニョゴニョやり易い順位でもあるなw
0636774ワット発電中さん
2017/06/15(木) 21:11:46.91ID:60JUnCFG
>>633
最近のパッズはどう?
昔はガーバーすらまともにできなかったけど。
0637774ワット発電中さん
2017/06/19(月) 09:22:32.77ID:W6Vv0pr6
日本のCAD事情は
大手:回路 図研
基板 図研
中小:回路 ORCAD
基板 PADS

が多いいのかな
0638774ワット発電中さん
2017/06/19(月) 10:49:58.01ID:EdOpnlBp
>>637
基板CADは知らないけど、回路図CADにOrCAD使ってる。
OrCADの回路図は一目でわかるけど、
図研の回路図は、どうでしょうか?
特徴的なシンボルとかありますか?
0640774ワット発電中さん
2017/06/19(月) 14:40:40.13ID:1gxA3jDu
AW重視のCADだとどんなランキングになりそう?
0641774ワット発電中さん
2017/06/19(月) 18:32:47.03ID:W6Vv0pr6
>>638
>>639
開発してる会社は回路はORCADが多かったな、すぐわかる
大企業から直接出図される回路図はなんか日本ぽい感じがしたから図研かと思っただけww


俺も最初に使ったのもPADS だったし
今はCSIEDAだわ
0642774ワット発電中さん
2017/06/19(月) 18:51:39.99ID:xL6/VGBM
図研から半日無償セミナーするぞっていうメール飛んできた
0644774ワット発電中さん
2017/06/19(月) 20:46:34.97ID:hDslALl4
>>639
そうそう、図研の回路図CAD使ってるの見たこと無いけど、
社内でクローズして外部とやりとりないだけかもね。

回路図だとOrCADが一番見るかな。
一度だけプロテルってあったかな。

基板のほうはバラエティに富んでる気がする。
PWS、BD、CADVANCE、allegroは使ってるのよく聞く。
CADLUS、PADSはたまに聞く。
超たまーにでプロテル。
昔ならMM-2、MM-colmo、recalあたりも聞いたな。
OrCADやexpeditionは使ってるの聞いたこと無いな。

SI/PIシミュレータだとhyperlynxとdemitasが殆ど。
たまにsiglty、キーサイトかな。
これも図研のは聞かないな。
0645774ワット発電中さん
2017/06/19(月) 20:48:02.43ID:hDslALl4
>>643
金払えば使いやすいビューワ手に入るってよw

そういえば最近名前をみるクワッドセプトとかデザインスパークとかって
どうなんだろ?
0646774ワット発電中さん
2017/06/19(月) 22:04:21.66ID:5flFdamU
>>645
図研のPCB CAD指定してあげてる(使ってやってる)のに、なんで金払わんといかんの?
(↑120%、エンドの言い分w)

いやさ、実際問題Allegroビューワは無償で、慣れれば図研よりマシ。
半導体ベンダー提供データもAllegroが多いし、それをわざわざ図研に持っていくのは無駄だし。
0647774ワット発電中さん
2017/06/19(月) 22:29:46.24ID:hDslALl4
>>646
使いかってが悪いとは言え図研が”無償”でビューワを出した事は衝撃的なことだけどね。
もっと便利に使いたかったらって有償のを紹介された。
回路図CADもそうだけど、ある程度回路設計者の人数がいると知るとグイグイねじ込んでくるな。
ほんとウザイ。で高い。
そんなに売り込むなら・・って価格を聞いた瞬間「はぁぁぁぁ?」って毎回声が出るw

半導体屋はほとんどが海外なわけだし、世界的にはallegroなんだもんね。
シェアはでかいから図研を使うんだろうけど、CADとしての機能は海外勢に
置いてかれていると思う。
0648774ワット発電中さん
2017/06/19(月) 22:39:37.84ID:Y9b+eTLB
オンラインのオートルーターをやめさせたのは図研だったかな。
権利者を自認する立場なら当然だけど。

本来は情報のやりとりをするのに回路図CADと基板CADが連動しているべき作りなのに、
回路図CADが基板CADほど使われていないのはもどかしいだろな。
0649774ワット発電中さん
2017/06/19(月) 23:35:20.38ID:HuuyBKQE
>>647
> 世界的にはallegroなんだもんね。
Allegroでいいよね、図研でなくても。
安いOrCAD PCB Editer?で編集も出来るし、OrCAD Captureと親和性高いし。

ただ、なぜかみなさん図研が好きらしい。
0651774ワット発電中さん
2017/06/20(火) 20:58:19.31ID:/yi2Pjwy
>>650
最近のは知らんけど、昔は重宝したよ。
100%ルーターだったから見た目は悪いけど
電気分かっていれば結構使えた。
0652774ワット発電中さん
2017/06/20(火) 23:27:33.96ID:ZRnN0r5Q
OrCAD Captureですが、
部品ライブラリを作成/修正で、四角を書いて中に線を引いて部品の内部回路を書いています。

四角の中の線を触りたい(選択したい)ので、
確実に線の真上でクリックしているのに、四角が選択されてしまいます。
同様に、ピンの近くで線分を選択すると、ピンが選択されてしまいます。
選択に優先順位があるのでしょうが、思った通りに選択出来ずに、イライラしてしまいます。
選択に関して、何か設定できるところはあるでしょうか。
0653774ワット発電中さん
2017/06/23(金) 13:15:14.89ID:7qyXcuCj
>>652
なかなかレス付かないね。
OrCAD使いって たくさんいるんじゃないの?
0654774ワット発電中さん
2017/06/23(金) 23:34:19.58ID:cW/8d2wN
>>653
イライラしながら頑張って掴むのさorz
あるいは、別シートでピンを置かずに編集するとか。
0657774ワット発電中さん
2017/06/24(土) 16:29:43.02ID:+lZJDFQJ
>>654
ありがとうございます。やっぱりイライラしながらやっているんですね、みなさんも。

ライブラリA で、図形要素コピー、それをライブラリB にペースト → できるんですが、
ライブラリ で図形要素コピー、回路図上にペーストができません。

線分を書いて、それを移動するために、線分の上でクリックしながらドラッグで移動すると、
線分の端っこを掴んでしまい、びよーんと変形してしまいます。

線分を書いて、太くしようと、右クリックで太いのを選ぶと、太すぎる。
いきなりそこまで太いのは要らないよ。
しかも、その太くなった実線を、点線にすると、今度は太さが細くなる。

2本の線分でLのように端部を合わせて書き、その頂部(2本の接合点)を摘んでドラッグすると、
線分全体がそのまま移動したりする。その点を引っ張って鋭角や鈍角にしたいのに。

これらも、OrCAD様の仕様なんでしょうか?  たまんないです。
0658774ワット発電中さん
2017/06/24(土) 17:17:06.76ID:/OShts4s
回路図CADって見易さは大事だけど美しさはどうなのよ?
回路的に美しく入力していればいいんじゃね?
0659774ワット発電中さん
2017/06/24(土) 21:02:29.19ID:K3aA3rev
>>657
発想を変えたら?

部品の内部回路を書きたいって事だけど、確かにTrやFETは内部回路はあとで見て助かる。
だけど、IC/LSIの中身を書きたいなら、そこまではどうだろう?

例えばIECのシンボル、あれはよく考えられてて、慣れれば中身の類推が出来る。
しかもOrCADには作り易いように図形が用意されてて、選んで置くだけ。さくさくライブラリが書ける。

我が道を進んでいるから、無用な苦労している様に思えるよ。
0660774ワット発電中さん
2017/06/24(土) 21:04:34.38ID:K3aA3rev
>>658
それはある種の美術的センスだから、そこを求めちゃダメw
0661774ワット発電中さん
2017/06/25(日) 02:27:14.14ID:sV/UrkFl
>だけど、IC/LSIの中身を書きたいなら、そこまではどうだろう?
シリアル制御のデジタルポテンショ。VRのような図を書きたくないですか?
抵抗1本でゲイン設定できる計装アンプ。三角の入力端子の間にゲイン抵抗のピンを書きたいですよね。
同様にOP AMPの三角の出力と下の角の間にOffset用の端子2本、書きたいですよね。
コネクタ、オスなのか雌なのか、書きたいですよね。
AD628 差動アンプ+シングルエンドOP AMPの合体ICなので、四角の中に内部回路書きたいですよね。
基板コネクタに接続される接続相手の内部回路、書きたいですよね。

そういうことです。
0662774ワット発電中さん
2017/06/25(日) 13:31:52.22ID:ljyY0OM6
コネクタのオスメスは表現したいよね。
OrCADのシンボルって、オスメス表記無かったっけ?
四角にピン晩が出ているだけの「何にでも見えちゃうシンボル」でしたか。
0663774ワット発電中さん
2017/06/25(日) 17:01:19.92ID:9/rlBcqt
>>661
> VRのような図を書きたくないですか?
ここだけは同意だが、その他はなんだな。

計装アンプのゲイン抵抗ピンは書いて当たり前。OPAMPも同じ。
コネクタのオスメスはシンボルとして書くが。イライラする程でもなし。
B派だからAD628は知らんが、なぜ OPAMPなのに四角? 三角でいいやん。

> 基板コネクタに接続される接続相手の内部回路
書きたくないし、それは流石にやり過ぎ。
つうか、相手側の仕様書からブロック図を貼り付ければ済む話では?

そこら辺に凝る時間があるなら、俺なら品質チェックに時間割くけどね。
0665774ワット発電中さん
2017/06/25(日) 21:20:11.50ID:E+EMCDRA
>>664
まぁ、丁寧に書かれてて見易い回路図は気持ちいいしね。
ただ、過ぎたるは及ばざるが如し。やり過ぎはダメっしょ
0666774ワット発電中さん
2017/06/26(月) 01:26:39.53ID:qhZ1o/lF
>>663
>AD628は知らんが、なぜ OPAMPなのに四角? 三角でいいやん。
データシート見てみてください。 四角の中に三角形を書きたくなります。

>相手側の仕様書からブロック図を貼り付ければ済む話では?
ブロック図なら、文字で書いても同じですよね。
こちらの駆動出力を相手がどんな回路で、どのくらいのインピーダンスで受けるのか
回路図上に示したいです。

>そこら辺に凝る時間があるなら、俺なら品質チェックに時間割くけどね。
もちろん、品質チェックは人一倍やります。
0667774ワット発電中さん
2017/06/26(月) 02:35:47.05ID:Q/LfmDEk
>>666
まあ、回路図に拘るのはいいことだと思う。特にアナログ回路は、書く人のセンスがわかる。

しかし、デジタル回路がメインの人だと、
回路図に対する思い入れ = 10%
ネットリスト作成機だと思っている = 90%
だからね。
0668774ワット発電中さん
2017/06/26(月) 08:21:07.65ID:jGJFKTXC
>>666
わかったわかった、降参だw
でも、拘るとライブラリがメンドイのも変わらないけどね。
0669774ワット発電中さん
2017/06/26(月) 11:53:46.04ID:hi4SxhBO
>>666
使ってるツールでやりにくい事は求めないほうが得策
かけた時間が無駄だよ
0670774ワット発電中さん
2017/06/26(月) 13:23:27.92ID:qhZ1o/lF
>>669
ライブラリは1度作れば良いので、最初の1回だけがつらいですね。

OrCADの選択機能は、
対象の周囲○○ドットまで領域にしてしまうので、それが使いにくい原因です。
グリッドに載せて書くわけですから、その具レットだけを対象にすれば良いのに。

線分は、ドラッグする点が、端点と中央部とで動作が異なります。
端点は伸縮ですが、中央部は全体の移動になります。(大きなお世話)

線がL字型の「接続点近く」でドラッグすると、
仲良く2本をまとめて移動/伸縮してしまいます。(大きなお世話)

しかも、
CTRL+Zで戻れるのが、ライブラリと回路図上とで回数が異なるという変態仕様。

マイクロソフトと同じで「そんなん誰も頼んでないだろぅ!!」と怒りながら操作することになります。
0672774ワット発電中さん
2017/06/26(月) 14:39:26.49ID:qhZ1o/lF
>>671
そこでどうしろと。
0673774ワット発電中さん
2017/06/26(月) 21:38:31.26ID:izZjjp+8
>>672
保守契約してんなら聞けば教えてくれるし、
機能が不足しているなら要望として開発に上げてくれるし。
てことじゃないかな?

ちなみにそこの会社の中間管理職とあったことあるけど
目つきやばかった・・
0674774ワット発電中さん
2017/06/28(水) 09:53:04.86ID:JA9toZ6t
鐘通って基板製作だけで頼むと安い?
たまに競合して負けてんだよね・・・
0675774ワット発電中さん
2017/07/27(木) 22:15:56.44ID:bnVGDeoS
社長がシミュレータ導入するからお前担当なと自分が担当者になりました。
とりあえずいろいろ調べていますが、hyperlynxって言うのを目にします。
hyperlynxは買いですか?
0677774ワット発電中さん
2017/08/11(金) 11:22:37.57ID:qrt+EdAi
hyperlynxは良いツールだけど、
1000万こえるからみんなもってないだけだろな。
金あるなら買いだけどあんた設計屋?この手のツールは設計屋は持つべきではないがね。
0678774ワット発電中さん
2017/08/18(金) 17:26:13.31ID:bGDkAeOH
AW屋のデータ保管期間って何年って法的な規約や慣習ってあるの?
部品が入手出来なくなったから変更したいって言ったら
「データが壊れた。でも保管期間は過ぎてるから責任はない」と返された。
そのAW屋の言う保管期間は5年。この基板は8年弱ほど前に作った。
ガーバーはあるし、リピートもしてるからフィルムもシルク版もある。直しは少しだからガーバーからでもいけるけど・・・
0679774ワット発電中さん
2017/08/18(金) 18:03:28.14ID:GpJvvKu4
グダグダやりあうより作り直した方が早いんじゃ?
0680774ワット発電中さん
2017/08/18(金) 18:49:18.86ID:n0qBW7ZK
フィルムは保管悪いと伸び縮みあるし、保管8年だと使えるのか?
昔々基板屋で恒温恒湿で保管してるの見たことある。
0681774ワット発電中さん
2017/08/18(金) 22:03:24.63ID:szZUBk4S
>>678
本来顧客のデータをAW屋が持ってちゃいかんでしょ?
何年間保管するという契約をしない限り。
0682774ワット発電中さん
2017/08/18(金) 23:05:07.70ID:xPJHL24w
データ自前で保管すればいいじゃん。
最初から請求すればもらえるだろう。
0683774ワット発電中さん
2017/08/19(土) 00:52:24.05ID:uk3/7/Vb
オペレータレベルしかいないのかw

>>678
3年〜5年くらいを目安が多いと思う
それ以降も持ってるとこはもってる

ちょっとの修正でもCAM編集で元をいじるって
あんまやりたくないから、出しなおしたほうがいいよ
0684774ワット発電中さん
2017/08/19(土) 09:37:56.92ID:DwedI3mQ
>>679-683
ありがとう。
ICのパッケージが変わるだけだから実装面側だけの変更で行けるハズなんだよね。
その8年前の担当は辞めてて、簡単な変更だから担当して?って言われただけの事務方・・・
そのAW屋に基板の発注も頼んでるから基本的に手元にデータはない。
ガーバーデータはメタルデータ?をもらう時についでにもらったのがあっただけ。
0686774ワット発電中さん
2017/08/19(土) 16:10:21.38ID:AAzYpG/f
>ICのパッケージが変わるだけだから実装面側だけの変更
てことだからディップじゃないだろうと。

データ保管については取引き時の契約で明確にしとくもんだろ普通。
0687774ワット発電中さん
2017/09/13(水) 10:47:34.53ID:vN790v00
スレ違いだけど、他に聞けるスレも知らんので・・・
MOに入れてたデータが飛んだ(削除はしてないけど、ファイルと中身データが無くなった)
修復業者に任せようと思って調べたら、ウルアって所が安いけどどう?
0688774ワット発電中さん
2017/09/14(木) 01:05:15.39ID:Dfx72t65
良いよ♪とっても良いよ♪お得な価格だし♪
って書いて背中押してあげれば、良いのかなぁ?知らんけど。
0689774ワット発電中さん
2017/09/14(木) 15:12:03.88ID:BlRcCzIh
MOは表面のホコリで読めなくなることがある。
5インチの時は、きれいな布で拭いてやった。
どう?
0690774ワット発電中さん
2017/09/14(木) 22:21:46.16ID:jR5e1zaB
>>687
富士通とかはどうよ?
確か富山だかでデータ復旧やってるよ。
0691774ワット発電中さん
2017/09/26(火) 16:40:42.42ID:amXybc2F
DipTrace って、知ってますか?
お客さんから、このCADを使ってAW設計して欲しいって
リクエストがあったんですが。
日本でこのCADを使用している業者さんをしらなくて。
0692774ワット発電中さん
2017/09/26(火) 20:13:21.33ID:1/dYlHgj
初めて聞いた。海外は結構いろんなcadあるからね。
設計依頼してからcad指定はきついな。
買うの?
0693774ワット発電中さん
2017/09/26(火) 23:08:54.71ID:5P0Ob4VB
古くからあるCADじゃないと思うから、AW設計業者で使ってる所はないと思うよ

お客さんがどういう理由でリクエストしてるのか分からないけど
後でDipTrace使って自分で変更できるように、というような理由なら
Altium, P-CAD, PADS, OrCAD から Import できるように書いてあるから
(ホントに)できるか確認した上で、どれか使ってる業者に依頼する
しかないかな
0694774ワット発電中さん
2017/09/26(火) 23:31:59.77ID:jqoAs8BD
DipTraceは2004年に最初のバージョンが出たらしい
どっかでキーボードのデータがdiptraceのファイルだったから開くのに使ったことだけある
0695774ワット発電中さん
2017/09/27(水) 13:22:45.79ID:CUNZvsOE
御回答、ありがとうございました。
このCADに固執すると、話しが進まないので
『他CADからのインポートで』お客様には話しを持っていきます。
0696774ワット発電中さん
2017/09/28(木) 01:32:59.88ID:OQgs474D
DipTrace
Commertial版のFullで$1,195
2000 pins, 6 signal layers の Extended で $695
non-commercial のFullで $348

(ちゃんと比較してないけど)EagleとかTaget3001!なんかよりもお手軽かも
まあ、ホビーユースだと KiCad一択という感じになっちゃったから
今更なのかもしれないけど
0697774ワット発電中さん
2017/09/28(木) 13:30:34.08ID:IGMAccAz
ガーバーデータのVIEWソフトってどんなのがオススメ?
CADとは別でwinで使用出来てアパーチャやパターン幅、間隔がきっちり出るやつ。
0698774ワット発電中さん
2017/09/28(木) 15:02:13.36ID:Bd4VT+DM
よく使うのはダイナビューワとキャドラスのだな。
0699774ワット発電中さん
2017/10/17(火) 03:08:04.48ID:3fappW5b
OrCADって、結構使われていますか?
0701774ワット発電中さん
2017/10/17(火) 17:26:40.63ID:zTJ6dN+I
>>700
それはあなたの想像ですよね?
きちんとエビダンスを提示してください。
0704774ワット発電中さん
2017/10/23(月) 00:15:02.26ID:YAWovJ7J
OrCADは、業界の標準です。
決して使いやすくはないけど、みんな黙って使っています。
0705774ワット発電中さん
2017/10/23(月) 20:47:07.31ID:NoEj4SqW
>>704
それはあなたの想像ですよね?
きちんとエビダンスを提示してください。
0708774ワット発電中さん
2017/10/25(水) 00:41:42.95ID:Ts+0fzR7
海老のブリブリ感とダンスの躍動感の表現で詰まってる
0709774ワット発電中さん
2017/10/30(月) 02:49:41.00ID:ACLS4emZ
>>706
だって、ウチでも何台かあるし
0710774ワット発電中さん
2017/11/11(土) 20:42:06.45ID:ItXj18h8
部品ライブラリという過去の遺産のおかげで
回路図も基板もZUKENです・・・
0713774ワット発電中さん
2017/12/23(土) 03:45:04.38ID:/JEIwJ3s
回路図CADで教えてください

バス線に取り込める信号名は、
D0, D1, D2....のように 文字+数字でないと行けないのでしょうか?
WR, RD, ACK のような信号名を同居させては使えないのでしょう?
0714774ワット発電中さん
2017/12/23(土) 06:09:22.73ID:ok/S955q
>>713
「回路図CAD」って言っても実際のツールの名称明記しないと的確な回答もらえないかも
0715774ワット発電中さん
2017/12/23(土) 13:23:07.50ID:/JEIwJ3s
>>714
713の言うような信号混在をバスで書けるのは、見たことない。
少なくても、オアキャドはできないね。
できるCADがあったら、俺も知りたい。
0716774ワット発電中さん
2017/12/23(土) 15:22:39.93ID:EVSjCLJT
信号名だけでネットがつながってバスの記号はダミーというのは
なかったっけ
0718774ワット発電中さん
2017/12/23(土) 18:26:41.36ID:ok/S955q
>>716
D2CADではそんな感じ
バスという概念はなくて、接続(ネットリスト)には無関係な太いlineが描けるだけ
逆に自由に使えるので、可読性向上にはすごく有用

バスの概念のある他のCADでもやろうと思えば、同じようなことできるんじゃ?
0719774ワット発電中さん
2017/12/23(土) 20:27:23.93ID:T1xrR+1Z
>>717
>なんのためにそうしたいの?回路図面上の表示のため?
回路図の判読性を高めるためです。
例えば、I2Cで、SCL, SDA, ADR0, ADR1, ADR2の5本があったとすると、
それを1本のバスで書ければ、とても見やすいです。
バスなしで5本を「ゾロゾロ」と書くのは、回路図上のスペースが要るし、見にくい。
回路図は、見る人に設計者の意図を伝える重要な働きを持っています。
もし、>>717が、回路図のことを「ネットリスト作成機」
という捉え方をしているなら、議論は噛み合わないかもしれません。
0720774ワット発電中さん
2017/12/23(土) 21:05:49.88ID:ok/S955q
そういうことなら、718で書いた方法でいいんでは?

元々、回路図CADでは、モジュールポートとかオフページコネクタで
シートの外に引き出すときにバス概念があったほうが便利かも・・・って
程度の機能だと思う(異論あるかもだけど)

バス使おうが使うまいが、デバイスのピンとは結局labelつけたwireで
接続するんだから、単に「絵」としてバス書いとけばいい
0721774ワット発電中さん
2017/12/23(土) 21:24:32.07ID:3kGU1nM/
>>719
それなら >>718 の通りかな。普通のCADでもできると思う。
ただそこからPCBに移行したり、DRCが意味なくなる可能性あるけど、表示だけならそれで行けるはず。
0722774ワット発電中さん
2017/12/23(土) 21:26:02.26ID:3kGU1nM/
>>721
ミスった。

「ただそこからPCBに移行できなかったり、DRCが意味なくなる可能性あるけど・・・」だった。
0723774ワット発電中さん
2017/12/23(土) 23:40:32.19ID:T1xrR+1Z
みなさん、ありがとうございます。
確かにラベルで飛ばして、ドローでバスを書くのは、やったことがあります。
一瞬「これでええやん」と思ったのですが、
回路図の部分移動をすると、ドロー図形が伸縮に付いてこなかったりして、
ちょっと使いにくいものでした。
回路図の部品レイアウトが決まってから、最後にドローでバスを置けばいいんですが、
最初からバス属性で書きたいんです。

以前、どこかの回路図CADでは、
バスではなくて ハーネス という呼び名の、配線の集合体で表現できたような気はします。
0724774ワット発電中さん
2017/12/24(日) 01:54:19.98ID:7LuKrrx7
> ハーネスという呼び名の

それ、たぶん電気CADじゃないかな。ePlanとかソリッドワークスエレクトリカルとかdesignspark electricalとか。電気回路図つくるやつ。基板CADとは別物。作りたいのはICとかの電子設計図面でしょ?
0725774ワット発電中さん
2017/12/24(日) 01:57:37.04ID:TphPUkWR
>>723
>ドロー図形が伸縮に付いてこなかったり
これは、CADによるんじゃないかな

私も、ラベルで飛ばしまくって、行先は自分で探せよ的な回路図は
全く肯定できないんで、同じような描き方してると思うんだけど
ドロー・ラインで不満に思ったことないです

まあ、理想のスケマティック・エディターを追い求める気持ちは分からない
ではないけど、バス(ハーネス)機能に満足できたとしても、同時に
他の操作性とかも満足できるものに出会うことができるかどうか・・・
0726774ワット発電中さん
2017/12/24(日) 03:17:58.44ID:TphPUkWR
ちなみに、(何年も使ってなかった)D2CADで確認したら
「ドロー図形が伸縮に付いてこない」ようなことはなかったです

だからといって(それだけの理由で)D2CAD勧めるつもりもないし
勧めても使って見る気にはなりませんよね?
0727774ワット発電中さん
2017/12/24(日) 09:21:06.39ID:rVtAZAl6
>>723
>以前、どこかの回路図CADでは、
>バスではなくて ハーネス という呼び名の、配線の集合体で表現できたような気はします。
Altium Designerがハーネス
0728774ワット発電中さん
2017/12/24(日) 14:18:33.11ID:pgRp5Zoj
OrCAD使っていますが、
バスは末尾が数字の信号名しか許しません。
複数の信号名の同居も出来ません。

アルチウムで混在ができるなら、CAD変えたいですが、
客先との絡みがあって、出来ません。

ふう。
0729774ワット発電中さん
2017/12/24(日) 21:44:46.22ID:rVtAZAl6
>>728
Altium Designerもバスは末尾数字。
バスとは別にハーネスがある。
0730774ワット発電中さん
2017/12/25(月) 02:14:08.03ID:qDxHLtov
Altium Designer、使ってる人、少ないのかな。
0734774ワット発電中さん
2017/12/26(火) 13:09:01.57ID:1e7x0NTh
Altuim designerに、中国のコピー品を使っている人が多いと言うことでしょうか?
0735774ワット発電中さん
2017/12/27(水) 01:19:34.49ID:T+4IrtEU
聞いた話だが中国ではあれのワレがばら蒔かれとるんと。
0737774ワット発電中さん
2017/12/27(水) 12:44:58.47ID:22mySsTl
家で不労所得的に稼げる方法など
参考までに、
⇒ 『武藤のムロイエウレ』 というHPで見ることができるらしいです。

グーグル検索⇒『武藤のムロイエウレ』"

OO146ZDQJY
0739774ワット発電中さん
2018/01/21(日) 07:40:55.73ID:hNwPO67o
age
0740774ワット発電中さん
2018/03/15(木) 18:28:31.60ID:YS6IRLeQ
皆さんはUPS(停電時のバッテリー)ってどうしてます?
今のUPSがほぼ延長コード的な扱い(充電されていない)ので買い替えを考えています。
設計用CADとPC、+αでHDDなんかをつなぐ予定です。
0741774ワット発電中さん
2018/03/15(木) 18:34:38.93ID:Wnzu+2Kj
あ、停電!(でもUPSあるもn) シュン↓
1秒ぐらいしかもたなかった現場設備お下がりのUPS
0742774ワット発電中さん
2018/03/15(木) 18:59:25.40ID:MDWYF0a6
500VAの安物だが、バッテリがすぐ死ぬので5倍くらいのでかい容量を外付けしてる
カラにすると充電しきれないと思うが、トリクルで満充電保持する分には大丈夫
0746774ワット発電中さん
2018/04/05(木) 12:35:17.52ID:eEIVTs2+
OrCADメッセージ
Net has fewer than two connections
この意味って、2つ以上が接続されたネット ですよね?
0748774ワット発電中さん
2018/04/07(土) 02:02:28.12ID:JvBFLLXP
日本語だと
>= 以上、<= 以下、< 未満、>(超える?)
って、何で > だけ、ちゃんとした用語がないんだろう?ってのが不思議
0750774ワット発電中さん
2018/04/07(土) 08:17:36.86ID:Kn/1zUG/
上司「そんなんじゃない。競合製品以上のものを考えて欲しいと言ってるんだ」
部下「だからこれ、同等品ですってば」
0751774ワット発電中さん
2018/04/07(土) 08:19:24.84ID:Kn/1zUG/
マジレスするなら
「>※」は「※を超える」
ではないかと。
0755774ワット発電中さん
2018/04/07(土) 10:34:50.80ID:Kn/1zUG/
言葉が通じるかどうかは議論するよりフィールドで確認することですね。
0756774ワット発電中さん
2018/04/07(土) 12:47:57.71ID:w/1sMMJ7
>>746
そのネットは、2つ未満の接続を持っている

そのネットは、接続先がない

こう言えないのか? OrCADよ。
0757774ワット発電中さん
2018/04/07(土) 12:54:40.43ID:JvBFLLXP
通じるかどうかとかは議論してないですけどw

「未満」⇔「既満」ではと思ったけど、それだと >= になりそうだから
「未満」⇔「既超」を提案したい
0758774ワット発電中さん
2018/04/07(土) 14:08:29.49ID:Kn/1zUG/
通じなくて良いならもっと自由でいいのでは。
0759774ワット発電中さん
2018/04/07(土) 19:30:50.20ID:1i00zGM+
・以上 more than
・以下 less than
・未満 fewer than
0760774ワット発電中さん
2018/04/08(日) 00:23:22.87ID:CTQZR4xB
>>759
・> (more, greater, higher) than
・< (less, lower) than, fewer than(countable か uncountable かの違い)
じゃないかな
0761774ワット発電中さん
2018/04/08(日) 03:23:47.68ID:DXsu+Ji/
そのOrCADって、
線をクリックすると近くの線も選択されない?
GND記号本体をクリックしたのに、GNDの文字がクリックされたりしない?
CTRLキーを押しながら、選択を追加していくと 途中でcopyになって2重になったり、
  選択が全部戻ったりとない?
ピン番の文字の位置が勝手にズレたりない?
0762774ワット発電中さん
2018/04/09(月) 13:29:46.61ID:XeOsE7+A
自動翻訳にしちゃ廻り狂ってるな
そのネットは孤立してます、でいいだよ
0765774ワット発電中さん
2018/04/10(火) 17:07:19.95ID:7P1d1GzM
>>761
そうなんですよ
0766774ワット発電中さん
2018/04/12(木) 23:44:20.09ID:OgV/WeGB
DesignSpark PCB使っている人少ないの?
0767774ワット発電中さん
2018/04/12(木) 23:49:19.97ID:nDYOAY0f
俺使ってるよ。マイナーっぽいけどね。不満はあるけど操作が直感的にしっくり来たんでこればっかり使ってるわ
0768774ワット発電中さん
2018/04/13(金) 00:15:24.66ID:kVWscoM+
>>767
これ使うとプリント基板の発注も出来るようだけど、
RS経由で注文すると値段が高くなったりするの?
直接業者に発注するのと比べて。
俺もプリント基板を設計して発注してみたいんだわ。
0769774ワット発電中さん
2018/04/13(金) 00:28:07.18ID:rNsyQ5jO
>>768
RS経由はやったことないから分からない。試作はFusionPCBしか使ったことないけどまあまあだと思う。日本語使えるしね。

他にいい試作屋さんないかねえ。
0770774ワット発電中さん
2018/04/13(金) 00:31:53.73ID:1nCS1FMe
>>766
使ってるよ。英語しかないし書籍もほとんどないから素人は手を出しにくいかもね。でも俺的には一番しっくりくる。
0771774ワット発電中さん
2018/04/13(金) 15:06:17.47ID:iuksoLOh
>>770
何がしっくり来るの?
0772774ワット発電中さん
2018/04/13(金) 19:06:14.18ID:bAHM2K8q
>>771
宗教戦争したいか?w

ライブラリが豊富。ライブラリ作編集が簡単。姉妹品3次元cadと連携。win標準のショートカットキー、回路とAWで同じ操作。複数シート回路図。多層基板。ライブラリの説明に日本語。シルク文字や図わくに日本語。円弧配線。

これで面つけと押し退けできたら言うことないんだが。
0773774ワット発電中さん
2018/04/13(金) 19:55:08.73ID:kZq058cY
>>772
横レス失礼。
面付けと押し退け配線は同意。

円弧配線できるのはいいけど、個人的には45度配線しか使わないんだよね。配線中にwを押したときの選択肢を減らしたいんだけど無理なのかな。45度配線と90度配線の切替にwを8回も押すのが面倒なんだよな〜。
0775774ワット発電中さん
2018/04/13(金) 20:06:35.88ID:JC+pJeGz
丸い配線じゃないとNFCのアンテナ作れないってことはないか。
0776774ワット発電中さん
2018/04/14(土) 09:08:34.56ID:kdwvVTF6
>>772
過去にはどんなCADを使った上での評価でしょうか?
Windows標準のショートカットが出来ないCADって、あるのでしょうか?
0777774ワット発電中さん
2018/04/14(土) 09:15:59.05ID:E4Vg1Xg4
KiCADもEAGLEもLTspiceも、Windows標準とは言えないような。(婉曲)
0778774ワット発電中さん
2018/04/14(土) 10:45:38.11ID:aZpQnSk/
Windowsより回路図CADのほうが古いので仕方ない面はあるが、ちょっとひどすぎると思うね。
なれればどうってことは無いが、なれるまでたいへん
0779774ワット発電中さん
2018/04/14(土) 13:51:05.02ID:Y/2oY+Rp
>>768
なんか勘違いしてる人多いけど、デザインスパークでRS縛りになることはないよ。
昔の人は言ったよ「RS高けりゃ秋月使えばいいじゃない」
0782774ワット発電中さん
2018/04/14(土) 15:59:45.41ID:Y/2oY+Rp
>>773
45度しか使わないのなら、一度90度で配線したあと、角の頂点のダブルクリックで45度になるよ。
0783774ワット発電中さん
2018/04/14(土) 16:47:02.80ID:QKsQ8Ejp
>>782
ありがとう。
書き方悪くて伝わりにくかったかもだけど、配線後じゃなくて配線の最中に切り替える方法について書いたつもりでした。一旦引いてから修正するのも試してみます。
0784774ワット発電中さん
2018/04/14(土) 19:02:00.26ID:CL9186jy
ジハード
0785774ワット発電中さん
2018/04/14(土) 20:39:51.16ID:l06pgSpP
>>781
プリント基板の自作に挑戦したくて、
CADはどれを使うか迷っているのだが
Quadceptと言うのはどんな特徴があるの?
主要なCADの特徴、性能を比較したような
サイトは無いのかな?
0786774ワット発電中さん
2018/04/15(日) 09:35:11.14ID:z0X0+3Km
>>785
プリント基板の自作が自分でエッチングして作ることを指しているなら、だけど。

プリント基板の自作に適した作図と、製造を業者さんにお願いするのに適した作図とはちょっと違うのではないかなあ。
今のCADが需要の少ない前者に比重をおくことは考えにくい。
0787774ワット発電中さん
2018/04/15(日) 11:59:05.12ID:R05O4t05
>>786
業者に発注する場合です。
よろしく。
0788774ワット発電中さん
2018/04/15(日) 13:00:06.93ID:z0X0+3Km
>>787
それなら、CADの種類ごとに検索するとそれぞれのレビューはいろいろ見つかるはずです。
比較は難しいかも。書き手の好みの問題が大きくなるもの。

個別のレビューを読んで、それが無償のものなら使ってみて自分にしっくりくるものを選ぶのが
最短だと思います。
0789774ワット発電中さん
2018/04/15(日) 13:43:09.65ID:R05O4t05
>>788
ありがとうございました。
参考までに教えて欲しいのですが。
最も利用者が多いのはどれですか?
まずはそれを試してみたいです。
0791774ワット発電中さん
2018/04/15(日) 14:55:57.28ID:v0VoDOHO
俺はOr CAD使ってる
0792774ワット発電中さん
2018/04/15(日) 15:09:37.57ID:R05O4t05
>>790
ダウンロードして試してみます。
>>791
有名なので名前は良く聞きます。
でも有料でしょ?
0794774ワット発電中さん
2018/04/15(日) 15:32:26.04ID:R05O4t05
>>793
なるほど。
じゃあEagleを使ってみます。
多くの皆さん使っているんでしょ?
これ使っておけば大抵の事は出来るんですね?
0795774ワット発電中さん
2018/04/15(日) 15:42:47.34ID:z0X0+3Km
>>794
>これ使っておけば大抵の事は出来るんですね?
ちょっと微妙です。

https://www.autodesk.co.jp/compare/eagle-vs-eagle-premium
ここのFreeの欄をご覧ください。

大きさの制限と、「信号レイヤまたは平面レイヤを 2 点まで作成可能」つまり2層基板まで、
という制限が気にならなければ大丈夫です。

Eagleが国内で普及し始めたころは、海外製造でも4層基板は割と高価だったのですが、
最近はそうでもありませんし、あなたにとって縁がないものではないかもしれません。

とはいってもスタンダードを契約してもむちゃむちゃ高価ってわけでもないですね。
0798774ワット発電中さん
2018/04/15(日) 17:07:43.77ID:R05O4t05
>>795
情報ありがとうございました。
二層基板で十分なのですが、
「80 cm2(12.4 in2)の基板領域」
は微妙ですね。
20cm x 20cmくらい欲しいです。
Eagleはやめて他のヤツを検討します。
KiCadならそう言う制限ないんですよね?
0801774ワット発電中さん
2018/04/15(日) 20:02:31.29ID:R05O4t05
>>799
さっそくKiCadをインストールしました。
0802774ワット発電中さん
2018/04/15(日) 22:00:36.80ID:AWJReQZC
一応自分も KiCad 派だとだけ。
CAD はその数だけ文化があるから、基本的にどれを使っておけばとか言うのはないよ。
0803774ワット発電中さん
2018/04/15(日) 22:35:50.69ID:DsLZXYug
海外のだとAltiumを見ることが多い気がする
国内だと図研?
0804774ワット発電中さん
2018/04/15(日) 22:50:58.69ID:vd3VLiQM
Protel v3
Windows 3.1なので
そろそろハードがやばい
0805774ワット発電中さん
2018/04/18(水) 23:27:01.60ID:3kss8r5S
Rhinocerosを使ている人はいないの?
0806774ワット発電中さん
2018/04/19(木) 01:21:33.89ID:tI1QCAU9
電気電子板よりも機械の方が多いんじゃない?>ライノセラスユーザー
0807774ワット発電中さん
2018/05/19(土) 23:35:37.75ID:G9flLkUa
Solidworksも2017版からAltiumの機能限定版
みたいなのが乗ってるな
0808774ワット発電中さん
2018/05/20(日) 11:34:28.30ID:+eI22eSu
オートデスクに対抗してダッソーも買収したのかと思ったらそういうわけではないのね
単に提携?
0809774ワット発電中さん
2018/05/20(日) 15:54:05.79ID:ff7BPLlk
OrCADとかprotel、PCBEなどは慣れてます。

KICADって、回路設計のときにアートワークまで意識しないといけなくて
回路図から部品選定とフットプリント、アートワークまで全部同時にある程度覚えないと使えないイメージがあって、
まず回路図だけとか覚えると手戻りが多く、最初のとっかかり難しく感じます。

イメージを払拭するいい方法はないでしょうか
0810774ワット発電中さん
2018/05/20(日) 16:27:25.30ID:SMfdga0o
>イメージを払拭するいい方法はないでしょうか
ちょこっと簡単な回路図試しに描いてみるだけでいいんじゃない

回路のシンボル選ぶときに、部品(フットプリント)とガッツリくっついてる
CADもあるけど、KICADはそんなことないよ
0812774ワット発電中さん
2018/05/20(日) 17:48:05.72ID:0h4xpL63
>>809
KiCad なんか、回路図とアートワークは完全に別物じゃん。ピン数さえあってれば関連付けられる。
なんか勘違いしてるね。
Eagle は、回路図の部品とフットプリントが関連づいてて面倒だった。
0813774ワット発電中さん
2018/05/20(日) 19:55:42.63ID:YCm395nS
>>812
Eagleは・・・
ライブラリの作者、つーかフットプリントが違うと同じSOP8同士の差し替えでもグチャグチャ。
オペアンプの差し替えですらままならん。慣れるとVALUE値だけを書き換える様になって、
「VALUE値のパーツ型番なんてただの飾りなんです。」となるw
0814774ワット発電中さん
2018/05/20(日) 19:56:40.42ID:ff7BPLlk
>>812
そうなんですか!?Eagleのほうが自分は楽でした。

最初に試したときに、あまり考えずに抵抗や汎用デバイスを置いて回路図書いたら
アートワークにするときにフットプリントの関連付けが強く、回路図から書き直したので
すごく大変でした。

新JISになじめず無理やり旧JISのパーツを作ったりしてやったせいかな?
0815774ワット発電中さん
2018/05/20(日) 22:45:12.26ID:SMfdga0o
>>814
>アートワークにするときにフットプリントの関連付けが強く、回路図から書き直した
抵抗や汎用デバイスのコンポーネントプロパティ中のフットプリントは空だし
入ってるやつ使ったとしても消したり変更することもできるよね?
0816774ワット発電中さん
2018/05/21(月) 09:27:47.20ID:+YPLsCwK
ユニークで個性的な確実稼げるガイダンス
暇な人は見てみるといいかもしれません
グーグルで検索するといいかも『ネットで稼ぐ方法 モニアレフヌノ』

R2Y14
0817774ワット発電中さん
2018/05/21(月) 21:01:15.81ID:y/AbU48b
>>814
なんか自分の知らない方法だなあ。
回路図のライブラリ作るときにフットプリントも関連付けられるんだっけ?
自分は CvPcb で全部関連付ける方法しか知らないなあ。
0818774ワット発電中さん
2018/05/21(月) 23:53:09.90ID:wWsIXz5e
KICADじゃないものをKICADと思って使ってるんじゃw
0819774ワット発電中さん
2018/05/22(火) 07:23:00.90ID:Zm3Db7dY
>>817
cvpcbでも出来るけど、回路ライブラリにフットプリント関連付けることもできるよ。
0820774ワット発電中さん
2018/05/22(火) 12:50:46.50ID:wMRVGYZY
付属のライブラリでも、マイコンとかメーカー名のライブラリのコンポーネントは
初めからフットプリント情報が入ってる
でも回路図描いた後で直接(あるいはCvPcbで)変更することはできるんで
814は何か勘違いしてるんだと思う
0821774ワット発電中さん
2018/05/23(水) 00:24:17.34ID:SdcHCzSj
別に吊し上げようとか罵倒しようっていうんじゃないけど>>814
どう勘違いしてたか知りたいね

【このスレ的情報】
Digi-Keyで"PADS Maker Edition"っていうのをfreeで配ってる
回路とPCB(1500pin?接続?6層)描けるみたいだよ
Pro版は+6万弱で8層ができるらしい
0822774ワット発電中さん
2018/05/23(水) 00:47:08.64ID:qOV6/zPY
PADS使ってるけど、KiCADに戻ってきた。こっちのほうがまだまし
0823774ワット発電中さん
2018/05/23(水) 02:35:44.50ID:SdcHCzSj
どういうところが「まだまし」なのか教えて!
0824774ワット発電中さん
2018/06/15(金) 07:55:36.01ID:F7Eq85io
このスレ的にはEasyEDAとかはありなのかな?
0825774ワット発電中さん
2018/06/15(金) 12:06:12.65ID:EzQZZHGz
>>824
あると思います
0826774ワット発電中さん
2018/06/15(金) 13:24:45.82ID:h4o3EXrH
同じ奴でもバージョンで変わってるとか

いろいろ細かいところが馴染めなくて
ふっるいバージョン使ってるってのはあるあるだな
金が無いからもあるかもしれんが
うちは新バージョン買っておいて古いの使ってるw
0827774ワット発電中さん
2018/06/20(水) 16:04:47.71ID:F30X56m8
EasyEDA 回路図エディターだけちょこっと触ってみたんだけど
操作性については、変なクセがなく素直な感じ

回路シンボルのインプレイス編集が、部分的だけど可能なのがD2CADから離れられない自分には好印象
今のところ、コンポーネントの検索がユーザーが思い思いにつけた名前からしか
できないので結構大変
典型的な 2.54mmピッチ2x20のヘッダー探せなかった orz
0828774ワット発電中さん
2018/06/26(火) 12:58:46.11ID:aXBpChX0
KiCADってホビー用途で使ってる人が多いみたいだけど、
会社で量産品の設計とかに使ってる人いる?
0831774ワット発電中さん
2018/07/03(火) 22:00:00.16ID:TUeFXinq
BO3
0832774ワット発電中さん
2018/07/14(土) 00:49:01.37ID:PzxSiQwb
怠け心からDesignSparkのパーツライブラリにフットプリントのリクエストを投げてみたら、次の日には出来たよってメールが来た。
エンジニアさんをタダで使役したようななんだか申し訳ない気持ちになった。
ブラウザからダウンロードしたら即座にKiCadに登録されて超便利。
0833774ワット発電中さん
2018/07/14(土) 07:13:26.67ID:k0F6eJjy
みんな海外製のCAD使ってるんだね。
俺は英語ダメだから図研使ってるわ。
CADはいいけど、解析系は海外製しか
まともなのがないのが辛い。
英語の能力あるみなさんがうらやましい。
0835774ワット発電中さん
2018/07/14(土) 16:47:54.47ID:us+1eyHD
kicadで無料で回路設計、パターン設計して格安pcb作れる。あとは実装が安く出来ればいいんだが。ひと昔前より良い世の中になったよ。
0836774ワット発電中さん
2018/07/14(土) 16:54:43.06ID:k0F6eJjy
>>834
ガーバー使えばいいだろ。
そもそも何千、何万枚も基板作らない
かぎり、国内の基板屋でいいでしょ。
0837774ワット発電中さん
2018/07/14(土) 16:58:49.75ID:k0F6eJjy
>>835
CADバグったとき怖いな。
商売でやるなら保守なしのフリーは
使いたくないな。
保守はお客さんに対する責任でもあるし。
0838774ワット発電中さん
2018/07/14(土) 20:40:09.24ID:XVBBpHKy
電子じゃなくて電気のリレーシーケンス回路描きたい場合は
何かしらオススメのあります?
いまはオートキャドのLEでお絵描き状態なんだけど
0840774ワット発電中さん
2018/07/14(土) 20:47:18.44ID:LOdC3iyY
面接官「CADの経験は?」
俺 「KiCADとイーグルの使用経験があります」
面接官「?それ、フリーソフトだよね?(笑)うち、ORCADなんだよね。」
俺 「(え?何その態度?)はぁ。」
面接官「なら、君は回路のことが分からいよね。」
俺 「いえ、基本は理解していますが。。。(何いってんだ?こいつ)」
面接官「うーん、そんなフリーソフトなんか経験にならないでしょ。うちORCADなんだよね。なんか勘違いしてない?」
俺 「はぁ。電気CADはガーバデータを作成するお絵かきソフトです。ORCADの使用経験はありませんので詳細は分かりませんが、高いソフトを使えば良いものが出来るわけではないと思います。何を使って描くかよりも何を描けるかが電気スキルだと思います。」
面接官「あ、そう。ならAutoCADの使用経験は?」
俺 「え?電気設計でAutoCADはあまり聞いたことがありませんが。。。配線図や回路図を書く会社さんも確かにありますが。。。そういう場合はExcelで描いちゃいますね。」
面接官「Excel?(笑)Excelなんかで回路図書けるの?(笑)」
俺 「はぁ。(Excelもろくに使えないのか、この人)」
面接官「AutoCADは機械設計の経験を聞いてるんだよ。3DCADの経験はある?」
俺 「いえ、ありません。。。(いや、職務経歴書のどこにも機械設計なんてないだろ、てか今回の募集職種は電気設計だろ)」
面接官「あ、ないんだ。あっそ(笑)」
俺 「はぁ。」


で、即日不採用通知を送付。
これ実話。会社は田舎の機械部品メーカー。
面接官は部長クラスの人。
ORCADを使うと高度な基板が設計できるらしい。
ちなみに某大企業は、製品設計にもイーグル使ってる。大企業の基板設計よりも、田舎の機械部品メーカーの基板設計のほうがさぞかし高品質、高性能なんだろう。
0841774ワット発電中さん
2018/07/14(土) 20:51:58.57ID:jaeBZT7K
CADオペの募集に間違えて訪問したんじゃないの?
0842774ワット発電中さん
2018/07/14(土) 20:54:12.66ID:jaeBZT7K
でも、840のその経歴が業務であったのなら
業務に使うんならCADなんて必須品、ちゃんとカネ出して買えよとは思う。
0843774ワット発電中さん
2018/07/14(土) 21:00:56.36ID:LOdC3iyY
>>842
イーグルはライセンス払ってたよ。
ちなみに年間10万枚以上生産される、信頼性が要求される製品だよ。
0845774ワット発電中さん
2018/07/14(土) 21:04:27.22ID:LOdC3iyY
>>841
CAD要員か知らないけど、

募集要項
募集職種:電気回路設計
・オシロスコープが扱える方
・LCRなどの電気回路知識を要する方

だけだったよ。
0846774ワット発電中さん
2018/07/14(土) 21:05:03.31ID:VrAxVZCR
Excelで回路図は草。せめてLTSPICE使うでしょ。
0847774ワット発電中さん
2018/07/14(土) 21:06:03.64ID:LOdC3iyY
>>844
いえ。
0848774ワット発電中さん
2018/07/14(土) 21:12:11.45ID:LOdC3iyY
>>846
回路図に説明や要求仕様を文書で書かなきゃいけないときはExcel一択でしょ。
SPICEは回路評価で使うよね。
SPICEで回路図書いて、CADでガーバ作成が基本だと思いますが、仕様書にSPICEの回路図コピペって絵が醜くなるので回路図トレースが基本ですね。
回路図やら何でもvisioで描く売上1兆円超える大企業もあるけど、うちはExcelだったね。
0849774ワット発電中さん
2018/07/14(土) 21:16:45.34ID:jaeBZT7K
>>846
昔、客先からEXCELで回路図を描け、と指示を受けた
理由は、みんなで共有できるから
(いや、オリジナルを勝手に共有されると困るんですが)
PDFでの提出に徹したけどね
要はソースが欲しかっただけのような。
0851774ワット発電中さん
2018/07/14(土) 22:18:58.50ID:VrAxVZCR
ID:LOdC3iyY さんからは2ちゃんねら(5ちゃんねらか?)特有の知識ひけらかす態度が見え見えだもん。
あんまり人のこと言えないけど、面接官にしてみれば鼻についたんじゃないですかね。
0853774ワット発電中さん
2018/07/14(土) 22:34:24.04ID:LOdC3iyY
>>851
まあ、そういうように取る老害だったのかもね。
0854774ワット発電中さん
2018/07/14(土) 22:39:49.24ID:LOdC3iyY
>>852 みたいな無知というかレベル低いのが多いから困るんだよな。
恐らく、ろくな仕様書も作ったことないんだろう。まあ、Excelでガーバ描くやつがいたら、俺でも引くけどな。でもExcelで回路図を笑うのは、馬鹿か低レベルだと思うよ。
0856774ワット発電中さん
2018/07/14(土) 22:42:53.73ID:kLERKcbt
>>852
現実的にあり得ないね

大手企業を随所でアピールしてるけど
なんかイメージが展開できない
0858774ワット発電中さん
2018/07/14(土) 22:50:01.21ID:LOdC3iyY
>>856
回路はモジュールで構成されるから、特定のモジュールで特別な指示が細かくある限り、Excelの回路図は必須でしょ。
まあ、見やすければグラフィックソフトでも何でも良いだろうし、それをコピペしても良いけど。
ただ、仕様書はExcelで書くのが社内ルールだから回路図もExcelにトレースしただけ。

分かりやすく例えると、トラ技に載ってる見やすい回路図が電気CADで書かれた物でないことぐらい分かるかな?
そんな感じ。
0859774ワット発電中さん
2018/07/14(土) 22:57:34.83ID:pAzXfXxy
雑誌の回路図は
・昔から手書き原稿も受けていていた
・回路図CAD出力でも筆者によって記号がまちまち。
・誌面の統一感を作りたい。
という要求から、トレーサーさんの仕事になってますね。
0860774ワット発電中さん
2018/07/14(土) 23:02:53.77ID:LOdC3iyY
>>859
大企業だと仕様書に載せる回路図の見やすさにも拘るんですよ。まあ、そこまで考えないでCADの回路図をそのまま画面コピーして資料に貼り付けるテキトーな仕事でOKな会社もあるだろうけど。
昔に先行開発で基板外注したときに、おたくの会社の仕様書はホントに分かりやすいってほめられたことあるよ。
0861774ワット発電中さん
2018/07/14(土) 23:13:25.94ID:pAzXfXxy
見易さを良くしたい、ということは構わないのです。

でも、Excelで描く回路図が見易いものになるかな?
たぶん、見やすさに大きく拘っている出版社の回路図はExcelの仕事ではないように思います。

Excel画伯みたいな人が話題になることがありますが、作画に適していないもので描くことに
驚きを感じる人がいるからこそ話題になるのですし。
0862774ワット発電中さん
2018/07/14(土) 23:14:46.79ID:LOdC3iyY
個人的にはAutoCADで回路図書くのが理解できない。SPICEみたいな回路評価できないし。AutoCADの回路図からガーバに変換するのも無理みたいだし。
AutoCADに日本語の注釈を沢山入れると編集面倒臭いし。そもそも資料用にA4サイズに調整するの手間だし。
AutoCAD厨の意見が聞きたいですね。
0863774ワット発電中さん
2018/07/14(土) 23:19:07.89ID:pAzXfXxy
大企業でも、変なシステムがあったりします。
むしろ零細企業だと、誰かが「これはおかしいだろう」って言えばシステムが改善されやすい面もあります。

大企業の方から Excel方眼紙なドキュメントをいただいたことがあります。
雑談の中で、あれって使い易いんですか? って尋ねたら、

「私が何か言ってシステムが変わるわけでもないし、上司や先輩たちが築いてきた習慣に異議を申し立てするのだから
私の立場にマイナスですよ」

ってことでした。
0864774ワット発電中さん
2018/07/14(土) 23:19:08.42ID:jaeBZT7K
CADとは、という基本が抜けてるんだろうな
線や丸を描く道具ってことなら、excelでも何でもどうぞだ。
0865774ワット発電中さん
2018/07/14(土) 23:20:11.59ID:LOdC3iyY
>>861
つまりExcelで回路図見たことないんでしょ?
Excelの図形描画ってvisioと同じように描けるから、コピペ多用でかなり簡単に早く回路図掛けるよ。

まあ、知らない世界を全否定するってよくあることだから、気にしなくていいと思いますよ。
0866774ワット発電中さん
2018/07/14(土) 23:23:03.77ID:pAzXfXxy
機械製図が、ちょっと前までは「人間が目で見て、モノを理解するためのもの」でした。

「それを効率よく綺麗に作るのがCADだ」という考え方は根強いのです。
0867774ワット発電中さん
2018/07/14(土) 23:23:26.75ID:LOdC3iyY
>>864
最終的に紙に出力されるものに、いちいちCADで作成しようと拘る人って、視野狭いなぁって思うよ。年寄りな派遣さんに多い気がする。
0868774ワット発電中さん
2018/07/14(土) 23:28:27.05ID:LOdC3iyY
>>866
ならドラフターで手書きで描いたらどうですか?
CADなんて歴史浅いし。

見やすさで言えばIllustrator使ったほうがより綺麗な回路図掛けるし、出版社はみんなCADなんか使わないでIllustratorとかで回路図書いてると思いますよ。

もしAutoCADでDTPしてたら、笑えますね。
0870774ワット発電中さん
2018/07/14(土) 23:29:01.45ID:pAzXfXxy
>>865
いや。俺にとっては黒歴史なのですが、Excelで回路図を描いていたことがあるのです。
CQ出版社からそういう本も出ていてて、いっときかぶれていました。

>>861の↓これはどう思われます?
>たぶん、見やすさに大きく拘っている出版社の回路図はExcelの仕事ではないように思います。
0871774ワット発電中さん
2018/07/14(土) 23:29:21.75ID:k0F6eJjy
エクセルでかくのはいいけど、ネットリスト
とかパーツリスト出せるの?
マクロ使えばできるのかい?
0873774ワット発電中さん
2018/07/14(土) 23:30:04.19ID:LOdC3iyY
>>869
出図は紙が基本でしょ。
電子化されてもPDFが基本ですよね。
CADは基本的に承認スタンプ機能ないですからね。
0874774ワット発電中さん
2018/07/14(土) 23:35:16.74ID:jaeBZT7K
なんか業務のワークフローと繋がらないんだよね。>840の対話からして。
従来から特定のCADで運用している企業の中で、ましてや新人が提唱する
個人の理想システムがいきなり通用するわけないでしょう
0875774ワット発電中さん
2018/07/14(土) 23:38:14.73ID:LOdC3iyY
>>870
Excelで回路図ってことは、色々な説明書きも載せる仕様書用や資料用ですよね。

ユニバーサル基板でも組めるレベルの実験で道具的に使うような簡単な回路なら、いちいちCADなんか使わないでExcelでメモ書き程度で良いという意味でもあると思いますし、自分もメモ書き程度にExcel多用してます。
0876774ワット発電中さん
2018/07/14(土) 23:39:30.32ID:pAzXfXxy
えー。電子化されたデータの納品といえば、CADデータは必要になってきてますよ。
今は人間が目で見て使うための作図とは限らないですし。

回路図CADはネットリスト作成のツールだ、って言われてきました。
最近はシミュレーションの元データであったり、基板CADと連携して、3D情報まで担ってたりします。
0877774ワット発電中さん
2018/07/14(土) 23:42:16.96ID:BI3q/aiG
>>854
実際、自分のところは割と大手(笑い)だと思うけど、やっぱり有り得ないね。
Eagleですらもありえないんじゃないかと思う。セキュリティを含めた諸事情でw

ちょっとした説明用の回路図(イラストレベル)なら、EXCELでもパワポでも何でもいいけど
ある程度以上の規模になると破綻しちゃうからな・・・検証とかどうするんだろう?
0878774ワット発電中さん
2018/07/14(土) 23:42:29.15ID:LOdC3iyY
>>874
ん?何言ってるの?大企業で実際にやってるやり方ですよ。
なら聞きますがマイコンのアドレス割当の仕様書は何で書いてるんですか?ほとんどの企業はExcelで書いてると思いますが。
わざわざCADで書いてるとか時間の無駄にも程があります。
で、そのマイコンの周辺回路も「ついでに」Excelで書いとくなんて良くあることです。
イメージ湧きませんか?それならあなたの携わる回路がその程度なんです。
0879774ワット発電中さん
2018/07/14(土) 23:44:11.63ID:qYvWNpEy
そういうのはCADで書いた回路図から切り貼り(画面コピーとか)でやるけどなぁ
0880774ワット発電中さん
2018/07/14(土) 23:45:56.13ID:jaeBZT7K
>>878
なんかあなたの底が見えました。これ以上相手するのも時間の無駄なのでこの辺で下がりますね

君はすごく賢い偉い、俺なんかとても及ばない、この結論で結構です。でわ。
0882774ワット発電中さん
2018/07/14(土) 23:47:41.17ID:LOdC3iyY
>>877
イーグルあり得ませんか。例えば確かホンダさんにECU納めてる某大企業さんもイーグルみたいですよ。大企業だと、そもそも部門によって使用してるCADも異なると思いますが、あなたの企業は会社で統一できる規模みたいで羨ましいです(笑)
0883774ワット発電中さん
2018/07/14(土) 23:49:43.05ID:pAzXfXxy
>>878
そのマイコンの周辺回路が納品物の一部なら、回路図CADで作図して、基板まで作っていることが多いように思います。
だったら、回路図CADの出力をExcelに貼り付けて、必要ならコメントを書き入れる、という方法もあるんじゃないですかね。

ID:LOdC3iyY さんの会社でやってることを否定はしてないんですよ。
大企業でExcel方眼紙を使っていたり、電卓片手にExcelで作ったフォームに記入してる話はわりと聞きますので
驚くようなことではないと思っています。
0884774ワット発電中さん
2018/07/14(土) 23:50:57.27ID:LOdC3iyY
>>879
線が細すぎて小さくすると見えにくくなると思うのですがどうされてるんですか。
あと、CADのままの回路図だと素子間の間隔が広すぎて、無駄なスペースがおおいですよね。そこが解決できれば、わざわざExcelでトレースする手間が省けるんですが。
0886774ワット発電中さん
2018/07/14(土) 23:52:54.70ID:LOdC3iyY
>>883

要は>>884です。
そのまま貼り付けても、醜い。いや、見にくいと思いますが。
0887774ワット発電中さん
2018/07/14(土) 23:53:55.43ID:LOdC3iyY
>>885
派遣さんと世間話して、他の会社がどうやってるのか聞いて勉強するなんて基本でしょ。
0888774ワット発電中さん
2018/07/14(土) 23:54:36.08ID:pAzXfXxy
>>884
集団的趣味の話になってきた。
0889774ワット発電中さん
2018/07/14(土) 23:56:15.31ID:BI3q/aiG
>>882
>イーグルあり得ませんか。

いや、やっぱありえないと思う。。。
0890774ワット発電中さん
2018/07/14(土) 23:59:00.61ID:LOdC3iyY
>>883
あ。いやいや、アドレス割当考えてる時点で量産基板まで設計なんかしてませんよ。
マイコンの回路はデータシートの回路図コピペ。io周りだけ、概要分かるように参考回路書いとくだけ。
先に基板完成させて、後でソフト的にその回路ではシステム仕様満たせないと分かったときに回路から引き直す必要あるので、日程的にあり得ませんね。
0891774ワット発電中さん
2018/07/15(日) 00:02:49.73ID:sxkzj22/
>>889
イーグルだと何が駄目なんですか?私は無知で才能もない愚か者ですので、お手数をお掛けいたしますがイーグルの欠点を具体的にいくつかご教示頂ければと思います。
0892774ワット発電中さん
2018/07/15(日) 00:03:46.03ID:lFCVAr/b
>>890
ちなみにどれぐらいの回路規模なんだ?

EXCEL(笑い)でまともに業務を遂行できてるの???
0893774ワット発電中さん
2018/07/15(日) 00:04:11.38ID:W5OTFGF8
Eagleな会社があってもおかしくはないのです。
(「ありえない」と断言すると、使用例が一例でも出てくると論破されたことになりますよ)

ただ、>>840のような面接だと、企業が関心があるのは、
・どんなCADが世の中で使われているか
よりも
・今自分たちが使っているツールを即使える人
だという気もします。

あと、目面接官は、被面接者が質問に対して反発しているか、みたいなことは読み取ろうとします。
採用してから面倒なことになるのは避けたいですし。

>俺 「(え?何その態度?)はぁ。」

一瞬 、俺さんが面接官なのかと思ったぐらいです。
0894774ワット発電中さん
2018/07/15(日) 00:12:11.51ID:sxkzj22/
>>893
イーグルの何が駄目なんですか?
具体的に教えて頂けると嬉しいですね。
説明できないと思いますけど。

面接官である前に、一人の人として接して欲しいですよね。売り言葉に買い言葉みたいな状況でしたが、面接官であれ小馬鹿にした態度はいけませんね。
0896774ワット発電中さん
2018/07/15(日) 00:18:48.81ID:lFCVAr/b
>>891
ある程度大きな会社だとグループ企業のプリント基板を設計する部門があって
ツールも統一されてたりするんだが、設計検証で不具合が出るとかで設計データを受け取ってもらえないと思うな
(実際、試してみたことはない)

あと情報セキュリティ(笑い)とか諸々の問題もあるから、そういうのに疎い会社ならありえるのかな???
0897774ワット発電中さん
2018/07/15(日) 00:19:31.63ID:sxkzj22/
>>895
いえ、社会人なので社会人としての常識を重視しています。
名刺交換などはしませんが、新卒ではない応募者に失礼な態度や喧嘩越しな態度は理解できませんね。
0898774ワット発電中さん
2018/07/15(日) 00:23:45.88ID:sxkzj22/
>>896
一応量産まで問題なく出来てますが。
8層の複数ねマイコン搭載といえば、回路規模想像できますよね?
具体的に何が問題なのか具体例教えて頂けませんか?(笑)

情報セキュリティ?(笑)
セキュリティについて良く知らない人ほど、セキュリティを抽象的に挙げますね(笑)
0899774ワット発電中さん
2018/07/15(日) 00:25:39.80ID:sxkzj22/
>>896
ああ、あなた。ルネサス以外のマイコンは怖くて使えないみいな人か。ならあなたの懸念はよく分かる(笑)
0901774ワット発電中さん
2018/07/15(日) 00:39:57.24ID:lFCVAr/b
>>899
>ルネサス以外のマイコンは怖くて使えない

何を言ってるのか意味不明かと・・・車載とか宇宙機とかやってるところなら
縛りがあるのかもしれないが、自分のところはそういうのは無いね
0904774ワット発電中さん
2018/07/15(日) 04:03:47.29ID:uY/SCgTf
何か昨日一杯議論があったみたいだけど、ある企業内で(回路図とかの)
ドキュメント作成について、(合理性について議論の余地があるとしても)
一定の基準を作って社内(あるいは外注先)に強制するのは当然なんだよね

でも、新しく求人に応募してきた人が、その基準に従ってなかったからといって
「あんたダメ」とかいうのは、「そっちのほうがダメだろ」って話になる
回路図CADなんて、一週間もあれば新しいのを覚えられるんだからね
0905774ワット発電中さん
2018/07/15(日) 05:49:04.50ID:ROJvOgzL
>>904
だったら先ずは、勤めはじめた企業のルールに1週間で慣れるのが普通と思いますがね
硫酸の希釈の順序のように
0906774ワット発電中さん
2018/07/15(日) 07:43:16.82ID:W5OTFGF8
>>894
俺に、Eagleの何がダメかと問われても困る。Eagleのことを否定してないですし。


Eagleについて、セキュリティの観点から否定的な話が出てきているっぽいのはなんでだろう。
オートデスクが何かやらかしたっけ。
0907774ワット発電中さん
2018/07/15(日) 12:41:54.93ID:StcmfbEZ
個人的にはOrCADとExcelの組み合わせが嫌い。
人力入力じゃなくてコピペで行けるスプレットシートなら間違いは起こらないはずとか、
Excelの計算で該当項目拾ってくれば間違いは無い、とか色々力説する前で上手く動かせて無い時があって、ギャグかよと思ってる。

こんなのスキルアップにつながらんし、どこから受けた仕事かも全く出せない所か知らないって仕事ばかりで次につなげられる仕事じゃねえよ。
もう、KiCad使ってる所に行った方が趣味の練習になるからいいよ……。
とか言いたい、ここ一年半ぐらい求められる技術がそっちじゃねえって所ばっかりで病む担当もやる気ないし。
0908774ワット発電中さん
2018/07/15(日) 22:27:06.93ID:Vumwoc4+
>>840
何となくだけど、その雇用主は電気設備CADの経験者を探してたんじゃないかな?eplanとかecad duoとか。図研のe3とか。
もしそうならそのやり取りは噛み合ってなかったのかもね。

電気CADって二種類あって、Eagleのような基板cadとは別に制御盤作ったりするcadもある。その場合、制御盤内のレイアウト検討で、機械設計図面をつくるAutoCADや3次元cadとの連係が必要と言われてる。
0909774ワット発電中さん
2018/07/16(月) 01:40:12.65ID:jPUgMlRv
AutoCADで回路図描いてGコードに変換して
3Dプリンタで手書き風回路図を出力できる。
0910774ワット発電中さん
2018/07/16(月) 09:42:12.78ID:wMcLoVWH
>>908
募集は電気設計。(実態は、社内で使っているOrCADの即戦力の使い手の募集)
まあ機械CADができる人もほしいかな。(小声)

って感じではないですかね。
0911774ワット発電中さん
2018/07/16(月) 10:23:13.73ID:5YDvNRbS
つまり面接担当者は早々に見切って
お帰りを促していたともとらえられる文面
0912774ワット発電中さん
2018/07/16(月) 12:55:52.58ID:k8NAtv7+
「電気設計」って強電設計でよく使われるよ。屋内電気配線、照明、エアコン、エレベーター制御、FAシーケンス設計なと。建築設計や機械設計の近くで電気を設計するしごと。

「田舎の機械部品メーカー」ってことはリレーやPLCモジュールを設計する業務だったのでは?

プリント基板cadとは色々文化が違う。回路図のシンボルから違うし、結線図とか三線図とか書くし。

あなたもその面接官も、狭い視野で相手のCAD知識を批判してたのかもね。
0913774ワット発電中さん
2018/07/16(月) 13:21:56.26ID:39lWfP9N
>>840
回路CAD知らんが、絵がそのまま基板になる基板設計CADはCADによって出来上がりは違うよ。
0914774ワット発電中さん
2018/07/16(月) 21:22:48.68ID:WIcAsRhL
LTspiceと連携出来る電気CADでお勧めありますか?
0915774ワット発電中さん
2018/07/16(月) 22:27:42.31ID:Y3GpCCv/
Excelで回路図って、抵抗とかICとかのシンボルを
どうやって作るんでしょうか?
配線をICのピンに、ピッタリに接続できるんですか?
たぶん、ネットリスト出力はできなくて良いんですよね?
接続済みのICを1グリッド移動したとき、
配線もヨイショヨイショって いちいち移動するんでしょうか?
ページオフは、使えるんでしょうか?
0916774ワット発電中さん
2018/07/16(月) 22:30:19.20ID:Y3GpCCv/
DRCは無しですよね?
0920774ワット発電中さん
2018/07/17(火) 00:30:03.61ID:GeJxp/r+
>>915
少なくてもデータの付加価値は915の作業成果とあまり変わらないと思います
0921774ワット発電中さん
2018/07/17(火) 00:31:15.90ID:GeJxp/r+
次の仕事は来ないという副次的効果は望めますね
0922774ワット発電中さん
2018/07/17(火) 07:22:57.78ID:PxPVYUGT
Excelで作った回路図で、見やすくてお客さんに褒められた、って話がありました。

これが該当するかどうかは別にして、余計に手間がかかっているドキュメントをもらって
喜ぶ風潮もどうかなって気がします。

その手間賃は、結局のところお客さん自身が払ってるわけだし。

もっとも、
下請け1→下請け2→メーカー→エンドユーザー
と、そのドキュメントがそのまま渡っていくなら、下請けからの図面がキレイな方がいいのは
もちろんなのですが。

その場合、下請けで作成した図面を上の業者が加工編集することがあるなら、共通ソフトで
データをやり取りした方がいいし、特殊ではないデータ形式にもメリットはありますね。
図面のデータとしてExcelが適切なのかどうかは怪しいですが。
0923774ワット発電中さん
2018/07/17(火) 20:30:31.82ID:6PDRJ9mx
どこかに、EXCELで書かれた回路図がないでしょうか?
一度見てみたいです。
どれほど綺麗に書けるものなのでしょうか。
0926774ワット発電中さん
2018/07/18(水) 02:32:16.02ID:MR19emF+
なんでvisio使わないんだろ?
仕様書に乗せる回路図お絵かきするんならexcelよりも便利だぞ?
0927774ワット発電中さん
2018/07/18(水) 03:40:40.48ID:NkS4H1FD
Visioで描いてイラレで取り込めばTeXコマンドを図中に直接埋め込んで、
文中の数式とそろえられるぞ
あと、TinaならWindowsメタデータでコピペ自由で便利だぞ
何より仕様書用に新たに図面書かなくていい
0928774ワット発電中さん
2018/07/18(水) 04:59:05.61ID:MR19emF+
IC設計なんでTINAは使わんのよ。
設計レビューの時に簡略化した回路図が必要な時にVisioで描いてる。あとは実際にCADの画面でレビューしてる。
0929774ワット発電中さん
2018/07/18(水) 05:50:40.93ID:NkS4H1FD
上位レイヤのユーザ説明用ならVisio一択かな。
いわゆるブロック図だの、ネットワーク図とか、あらかじめ用意されてるステンシルが多くて仕事が楽
いちいち作ってるとかなわんしな
エクセルで画描いてるじじいとか、ツールの適所使用できませんとか公言してるのと一緒だからな。
0930774ワット発電中さん
2018/07/18(水) 06:55:16.39ID:50lOOBDP
>ツールの適所使用できません
それ。
九州-東北間の出張になぜ電車なの?飛行機使わないの?
みたいな(但し、一般常識的な状況にて)
0931774ワット発電中さん
2018/07/18(水) 09:18:23.28ID:DxD3llnX
>>927
TINA使ってる。
WindowsのCTRL_CやCTRL_V,CTRL_Xも操作できるので、とても楽。
LTSpiceに戻れない
0932774ワット発電中さん
2018/07/18(水) 12:44:34.59ID:opZjZxC7
excel使うくらいならDesignSpark使おーよ。図枠に日本語書けるし、winメタファイル出るからワードに張り付けたあとでも再編集できる。曲線もなめらか。もちろんNetlistも出せる。
0939774ワット発電中さん
2018/07/18(水) 22:16:01.71ID:hQBGMHpH
エクセルの回路図からネットリスト出せるのでしょうか?
気になります
0941774ワット発電中さん
2018/07/18(水) 23:18:59.74ID:5e+Mjih2
アマチュアとプロとで金銭上の利益を目的とするかどうか以外で明確な差ってあるのかな?
0942774ワット発電中さん
2018/07/18(水) 23:32:32.49ID:KULOwsiy
>>939
なんで回路図からネットリスト出したいの?
回路図作成の目的はネットリストなの?図面なの?
0943774ワット発電中さん
2018/07/18(水) 23:41:45.82ID:MR19emF+
>>939
excelもvisioも普通に使ってたらネットリストなんて出せないに決まってるだろ。
資料に使う図とかで見た目重視で別にネットリストは不要って用途もある。
0944774ワット発電中さん
2018/07/18(水) 23:50:59.18ID:PevJxHg/
KiCad使っている人居ますか?
少し試してみたのですが初心者には難し過ぎました。
使いこなせるまで一年くらい掛かりますか?
0945774ワット発電中さん
2018/07/19(木) 00:06:54.11ID:zFRwcvMW
使いこなすの定義がわからないが、俺は1カ月でPCB発注までできた。
0946774ワット発電中さん
2018/07/19(木) 00:16:48.68ID:o7ugOwt1
>>945
他のCADの経験はありましたか?
何で勉強しました?(お勧め本ありますか)
毎日何時間くらい練習しました?
0947774ワット発電中さん
2018/07/19(木) 01:00:03.22ID:Y0YpCBPW
KiCadならトラ技の特集号読むとわかるらしいよ。
0949774ワット発電中さん
2018/07/19(木) 07:56:18.31ID:8l+uCjDu
>>943
「見た目重視」の美醜の判断は、誰の主観がするんだろうって気もする。

メジャーな回路図CADは、見た目が醜く(あるいは見難く)なるように作られているわけでもなくて、
見易いものになるように作られている。

「いやいや、全然、見易いとは思わないんだが」という意見もわかるが、そう思ったときに、下のどちらに
気持ちが寄るだろうか。

(1)誰から見てもこれは見難いものである。
(2)これを見易いと考える人がいるからこそ、このように作られている。

つまり、「俺が見易い」「俺たちが見易い」と判断した描き方の「見易さ」に普遍性はないし、
それが、メジャーな回路図CADの出力の見映えと全然違うものなら、
「俺たちが良いと思っているものは、世間的には特別に良いものではないのかもしれない」
という内省はあっても良いと思う。



見た目重視の「重視」も他の要素とのトレードオフだろな。
0950774ワット発電中さん
2018/07/19(木) 09:38:11.58ID:SslmTSRg
excelで完結できるなら誰も高価なCAD導入しないよ
資産が貯まればお絵描き目的ならJWとかの方がよほど有効
0952774ワット発電中さん
2018/07/19(木) 20:04:00.24ID:A4eDBvVF
信号取るだけ評価ボードみたいのだけど
エクセルでネット出し・基板起こしたことあったな

PDFデータシートからQFPピン名をコピペしたとき
エクセルでいいんじゃないの?と思い、セルで同じ名前は同一ネット。
テキスト書式揃えるマクロ作ってCRでポコポコ引いたことがる。

ヒマなときに社内用にOrCAD書き図面残す(ことにしといてやってない)
0954774ワット発電中さん
2018/07/20(金) 07:31:22.30ID:GvTavDsQ
>>926
EXCELの仕様書に直接回路図書いてるってことだろ?
お前のところはVisioで仕様書書いてるの?
動作要件の網羅表とか載せるのどうしてる?EXCEL使わないとか色々詰んでるぞ。
0956774ワット発電中さん
2018/07/20(金) 08:37:31.27ID:/SNJiBBV
↓憶測を除いた事実
>>954は、>>926を読んで「お前のところはVisioで仕様書書いてるの?」と思ってしまうような人。
0957774ワット発電中さん
2018/07/20(金) 08:56:00.79ID:fZe2yq5I
1ページで完結する程度の内容の仕様書ならexcelで良いかなって思うけど、何ページもあるのをexcelで作る人って、なに考えてるんだろ。どうせなにも考えてないんだと思うけどさw
0958774ワット発電中さん
2018/07/20(金) 14:11:21.99ID:ruTMdq7x
せっかく回路図描いたのだから
pcbやってガーバーまで出したいやん。

作業効率の問題。
0959774ワット発電中さん
2018/07/20(金) 14:33:11.23ID:qPbOo9e1
馬鹿ばっかで安心した
暫く仕事は安泰だな
0960774ワット発電中さん
2018/07/20(金) 14:33:27.91ID:bXtFTDT6
>>934
仕事で回路図やらパターン図書くやつがDesignSparkなんてまず使わない。
せいぜい異業種のやつが会社にないので、ちょこっと使う程度だろ。
普通はAllegroとかAltiumあたり使うでしょーよ。
0962774ワット発電中さん
2018/07/20(金) 14:39:03.54ID:bXtFTDT6
>>954
諸元表はそらエクセル使うでしょうよ。
でも、仕様書に載せる図面をなんでエクセルで書かにゃならのだ。馬鹿じゃねぇのか?
あんな、使いにくいおまけ程度の作図機能を使ってることがおまえの自慢か?wwwww
そして無駄に仕事時間費やして、残業か?この穀潰しが。
どうしてもExcelで最終仕上げたければ、Visioで書いた図面をExcelに貼り付ければいいだろが。
ほんと馬鹿だな。
0963774ワット発電中さん
2018/07/20(金) 14:41:08.80ID:bXtFTDT6
>>961
俺の言ってることがわからん時点でてめえはまともなEDAも使ったことのないくそ学生ってことがモロバレ
仕事してから御託いいな学生ちゃんよ。ま、来年入ってくれば反吐吐くまで働かせてやるから楽しみにしとけ
0964774ワット発電中さん
2018/07/20(金) 17:03:51.58ID:X1CxlN5x
ID:bXtFTDT6
あなた、壊れているのよ
もちろん自分では気づかないわ、だって
壊れてるんだもの
0965774ワット発電中さん
2018/07/20(金) 17:33:17.56ID:uor0Es7c
利用できるものは利用するじゃないかな
君らはフリーソフト入れて怒られちゃうIT屋じゃないんだからw
0966774ワット発電中さん
2018/07/20(金) 20:43:27.62ID:WEX4LqSF
>>960
アルチウムもつかわんな。
図研かアレグロ。おちてパッヅ、オアキャド。さらにおちてキャドラスじゃないか。
0967774ワット発電中さん
2018/07/20(金) 21:15:09.08ID:/SNJiBBV
目的が達成できるなら、なんでもいいんよ。
普通は〜だ、なんて考え方は狭い。狭い必要なんてないんだよ。

俺が使わないものは他の人も使わないものだ、とか、俺がクソだと思うものはクソなものだ
なんて考えるのは、外界を見る目が未熟なんだと思う。
あるいはそうやって他人を煽ることで憂さ晴らしをしているのだとしたら曲がってる。

Excelの人だって、本人にとってそれがベストだと言ってる分にはそれで良いんだよ。
他の人にまで、Excelがベストだ、なんて言いだすからおかしいわけで。

たいていの人のツール選びは、
・会社で決められているから
・得意先にこれを使わないとオメエんところは使ってやらない
なんていう受動的選択を除けば、いろいろな選択や、経験の積み重ねで自分(たち)のベストを
決めていることが多い。仕事の範囲、CADにかけられる費用、好みの操作感、条件はいろいろ
あっていいものだ。

商用ソフトでも非商用のものでも、その種類の数だけユーザーの選択の幅があるってことだし、
使われているからこそ存在している。
0968774ワット発電中さん
2018/07/20(金) 21:42:36.44ID:/SNJiBBV
>>963
仕事の時間には多様性があるとはわかっているけれど、
5chで根拠もなく人を捕まえて「学生」とあたかも「学生」=「レベルが低い」
かのようなつかいまわしをして、おまけに、体調を崩すことを肯定するようなブラックな
環境を仕切っているような態度をとるのって痛々しい。

そういう人が「CADで効率化できる」とか言ってもいまいち説得力を欠く。
説得力ではなく、自分が分からずやであることを恫喝によって相手に知らしめて、
「ああ、この人に反論しても意味がない」と思わせて、反論されないようにガードしている
だけなんじゃないかと思う。反論を封じても意味がない。

穏便な表現はできないものか。
0969774ワット発電中さん
2018/07/20(金) 21:42:58.21ID:1u74zJ4D
>>962
私はEXCELの使い方が分かりません、まで読んだ。

EXCELのオートシェイプすら使いこなせないなんて恥ずかしすぎるわ。
0970774ワット発電中さん
2018/07/20(金) 21:45:12.07ID:/SNJiBBV
>>969
>>962にExcelの使い方がわからない、とは書いてないし、
オートシェイプを何に使うかは、人それぞれ。
勝手に想像を暴走させるのはおかしい。
0971774ワット発電中さん
2018/07/20(金) 21:45:17.75ID:1u74zJ4D
Visioの図形描画とEXCELのオートシェイプがほとんど一緒だと分かった時点で恥ずかしくて逃げたくなるやつ多そう。
0973774ワット発電中さん
2018/07/20(金) 22:22:09.18ID:WEX4LqSF
>>968
決めつけますな。
喧嘩は同じレベル同士でしか起こらないとは
まさに真実なり
0974774ワット発電中さん
2018/07/20(金) 22:25:49.60ID:/SNJiBBV
>>973
>決めつけますな。
どこが決めつけでしょうか。
不当な決めつけは本意ではありません。

ご指摘いただければ、反論または修正をします。
0975774ワット発電中さん
2018/07/20(金) 22:30:06.24ID:WEX4LqSF
>>974
修正とな?
修正なぞ必要なかりけり。
なぜならそれがあなたの真の姿だ
0977774ワット発電中さん
2018/07/20(金) 22:34:09.50ID:/SNJiBBV
>喧嘩は同じレベル同士でしか起こらない
よく言われますが、本当にこんなふうに思っているのでしょうかね。

到底同じレベルではない人の間で喧嘩になってる状況ってたくさんあるように思います。

その場で相手に対して優位に立つために、自分の意に沿う既存の言い回しを使うことで
文化的な鎧を身にまとうような気分になってる、ってことはないでしょうか。
0978774ワット発電中さん
2018/07/20(金) 22:43:12.23ID:/SNJiBBV
>>975
コミュニケーションが得意だとか苦手だとかいう話がありますが、
communication の語源が「共有」であったり「ともに変える」であったりします。
つまり、一方的伝達は本来のコミュニケーションではなくて、自分が変わることもふくめたものです。

ですから、コミュニケーションする人としての俺やあなたは、必要に応じて自分を修正する存在なんです。
0979774ワット発電中さん
2018/07/20(金) 22:54:17.18ID:P3P0Qlfw
>>971
もしかしてexcelを方眼紙みたいにして使う人ですか?
0980774ワット発電中さん
2018/07/20(金) 22:56:25.05ID:WEX4LqSF
何言っるかわかりませんな。
もっとこう、整理して書き込みなさい
0982774ワット発電中さん
2018/07/20(金) 23:16:29.82ID:/SNJiBBV
>>980
自分がわからないことを言う人に対して、横柄なもの言いになるような虚勢を張る必要はないと思います。

コミュニケーションとは自分が必要に応じて変わることでもある、ということです。

コミュニケーションをするわけですから、変わらないことを前提に「真の姿だ」と断定することもないのです。
0983774ワット発電中さん
2018/07/20(金) 23:18:24.62ID:/SNJiBBV
ピエンイー って何だっけ。

ともかく、Excel方眼紙は割とみかけますね。
おれはしないです。
0984774ワット発電中さん
2018/07/20(金) 23:19:55.22ID:P3P0Qlfw
あれは貧者のvisioだからな。
作った本人は本人は良いけど、引き継いだ人は面倒。
普通にvisio使えよ。
0986774ワット発電中さん
2018/07/21(土) 00:22:48.39ID:YDrFZWE0
面白そうだな
おいらはSmartDraw愛用してる。
今は英語版しかないけど日本語縦書きも出来るよ

一応論理ゲートとかエンジニアリングも入ってるけど
そういうのは書く気しないw
0987774ワット発電中さん
2018/07/21(土) 00:45:00.33ID:/cp2AXUH
エクセル=スプレッドシート付きVB6
0990774ワット発電中さん
2018/07/21(土) 02:00:19.74ID:QT9q/Oiz
えーと、一口に回路図作成といっても、
・基板設計用のNetlist
・顧客へ図面納品
・学生レポート
・ICメーカーのデータシート作成用
と目的が変わればツールも変わる。
技術記事作成用に図研や使う人なんていない。

目的を切り分けたらExcelがハマるシチュエーションだってあるかもよ。あるかな。あればいいね。

あとアルチウムって表記嫌いだな。Altiumかせめてアルティウム。
0991774ワット発電中さん
2018/07/21(土) 09:44:08.88ID:CBeb9BCx
無料で使えるやつの主流はEagleとKiCadと思ってよいですか?
どっちがおすすめ?
0992774ワット発電中さん
2018/07/21(土) 09:46:49.03ID:L7fh8j7W
>>989
白い画面になってから重くなって離れた憶えが。
たぶん、VMで使っていた俺が悪かったのです。
0993774ワット発電中さん
2018/07/21(土) 10:57:25.82ID:YMOb/C7/
確かにエクセル製図アドオンというのがあったな
0994774ワット発電中さん
2018/07/21(土) 11:15:52.11ID:UP7vl/aV
>>990
じゃプロテルでw

20数年前は色々なCADあって楽しかったな。
どれを買うか悩んで、数社にしぼってさ。
メーカーに足を運んで見学や、デモみて。そのあとレストランや寿司や
でご馳走になったりして。
客とは言え今思うと20代の若僧によくやってくれたな。
0995774ワット発電中さん
2018/07/21(土) 12:04:47.04ID:L7fh8j7W
>>994
>じゃプロテルでw

それは秋月を信越と呼ぶようなものでは…

それより前は、海外のCADだとPC-9801用にローカライズされるわけもなく、
代理店の仕事は、IBMのPC(または互換機)とCADソフト、プロッターをセットで納入すること、みたいな時代がありました。
そういうシステムはとても高価で、割と早い時期に9801に移植されたOrCADは普及が早かったはず。
0996774ワット発電中さん
2018/07/21(土) 12:22:59.48ID:UP7vl/aV
>>995
IBMとセットならDASHあたりかな?
昔はハード、ソフトセットだったから
今に比べるとかなり高価。
でも、何セットも導入してそれで設計して
利益でてたな。

ORCADはソフト単体だからその流れに
一矢報いだ。
ラップトップでどこでも使えた。
0997774ワット発電中さん
2018/07/21(土) 12:36:58.34ID:/7ama7jL
>>994
ソフトウェアのアカデミック版と同じで
若い層ほど投資価値があるんでしょう
0998774ワット発電中さん
2018/07/21(土) 12:40:19.04ID:/7ama7jL
>>995
EWSとセットで買ったのがあったような遠いかすかな記憶。OSはUNIXだったかな。
ハードウェアまでがパッケージで非常に高価だった
0999774ワット発電中さん
2018/07/21(土) 12:52:23.36ID:QT9q/Oiz
>>991
基板設計用の無料CADという意味ならその二つが有名。次いでPCBEとDesignSparkPCBかな。
ただEagleは商用不可だから、厳密には新入社員教育やデータシート作成にも使っちゃダメ。その点でKiCadユーザを増やしてるかもね。
で自分のオススメはdesignsparkかな。日本語(多バイト文字)と円弧が使えるので。日本語版が出てほしい。
1000774ワット発電中さん
2018/07/21(土) 12:54:19.59ID:UP7vl/aV
>>997
確かにそうか。10年後20年後を見てか。
しかしまあ、2000万のシステム選定を
落ちこぼれの俺にまかせた会社も勇気あったな。上司がかなり上のポジジョンだったてのもあるだろうが。
10011001
Over 1000Thread
このスレッドは1000を超えました。
新しいスレッドを立ててください。
life time: 1057日 9時間 41分 14秒
10021002
Over 1000Thread
5ちゃんねるの運営はプレミアム会員の皆さまに支えられています。
運営にご協力お願いいたします。


───────────────────
《プレミアム会員の主な特典》
★ 5ちゃんねる専用ブラウザからの広告除去
★ 5ちゃんねるの過去ログを取得
★ 書き込み規制の緩和
───────────────────

会員登録には個人情報は一切必要ありません。
月300円から匿名でご購入いただけます。

▼ プレミアム会員登録はこちら ▼
https://premium.5ch.net/

▼ 浪人ログインはこちら ▼
https://login.5ch.net/login.php
レス数が1000を超えています。これ以上書き込みはできません。

ニューススポーツなんでも実況