X



トップページ電気・電子
1002コメント392KB
LTSpice使います。いや、使いたい。
レス数が950を超えています。1000を超えると書き込みができなくなります。
0001Spicy垢版2010/11/22(月) 18:48:42ID:wCjAp/E5
夜が更けても、なかなか思ったようにいかないもんです。
0876774ワット発電中さん垢版2018/02/24(土) 03:48:04.14ID:0/Ysxgy8
しょうがないじゃん、やることが無いんだから。
0878774ワット発電中さん垢版2018/02/25(日) 05:09:14.63ID:8My5H3I0
またそれか...
0879774ワット発電中さん垢版2018/03/08(木) 21:36:05.74ID:XeItI9UR
ヘルプ日本語版PDF ユーザーズクラブで公開されてたの今日になって気づいた

トランジスタ技術2017年9月号付録
アナログウェア No.3 電子回路シミュレータLTspice XVII 公式和訳マニュアル
または
トランジスタ技術SPECIAL No.141 バーチャル学習! パソコン回路塾
後半と内容同じみたい。
ていうか、すべてHELPの和訳なだけです。猫の写真は掲載されてないけどな
0880774ワット発電中さん垢版2018/03/14(水) 17:43:31.24ID:zkxsR2D+
ADIのページで日本語マニュアルがダウンロードできるらしい
さらに希望者全員にマウスパッドプレゼント
ただし個人情報抜かれるけど
0882774ワット発電中さん垢版2018/03/22(木) 12:25:07.45ID:DG+mKfZm
LTspiceでどうにもうまくいかないので解決策を教えてください。
ltspiceでmaximのコンパレータのspice modelを使いたいのですがまるでいうことを聞きません。
エラーはport count mismatchです。
max9013.lib,max9012.lib,max9109.libをそれぞれ試してみましたが同じ。
netlist orderの数と.subcktで定義されているピン数が合っているのも確認しました。
他社のMOSFETのモデルを追加したときは上手くいったのですが...
もう全く心当たりがありません。
0883774ワット発電中さん垢版2018/03/22(木) 13:16:17.47ID:wiu+G4Jy
実際にやってない
違ったらごめん

http://easylabo.com/2015/08/ltspice/11715/
Netlist Orderと、
.SUBCKT [Model Name] [Pin1 Pin2 … PinN]
のPin1…の順番があっている必要があります。
サブサーキット定義側はピン名ではなく、記述されている順番である事に注意して下さい。
1番からN番まで全て合致してるか、ピンの数が合っているかを確認します。

.SUBCKT MAX9013 1 2 3 5 6 7 8
Netlist Order = 1, Order = 2, Order = 3, Order = 4, Order = 5, Order = 6, Order = 7  // 8は無い
0884774ワット発電中さん垢版2018/03/22(木) 14:50:21.08ID:DG+mKfZm
数、順序はPinTableで確認してます。
MAX9109は.SUBCKTにそれぞれ6つピンありますがLTC1015.asyをベースに6つとも割り当て、
MAX9013は.SUBCKTには7ピン記述されているので8DIPをベースに7つとも割り当てましたがだめでした。
0885774ワット発電中さん垢版2018/03/31(土) 07:37:05.79ID:i8gp4sja
MicrochipのSIMetrix/SIMPLIS 昨年から?配布されてたみたいだけど
知らんかった
MPLAB Mindi Analog Simulator
http://www.microchip.com/mplab/mplab-mindi

ADIsimPE リニアおよびミックスド シグナル回路シミュレータ - パーソナル・エディション
Linear Technologyサイトも統合されちゃった、LTspiceが消えることもなさそうだしどうなるのかな
http://www.analog.com/jp/design-center/interactive-design-tools/adisimpe.html

どっちもダウンロードして使ってない

Free 20th Anniversary LTspice Seminar's Around the World
LTspice SAFARI JOIN THE ADVENTURE
台北まで来て日本素通りなのかな〜
インド、ベトナム、インドネシア、中国ふたつ
https://ww2.eventrebels.com/er/EventHomePage/CustomPage.jsp?ActivityID=24389&;ItemID=85695
0887774ワット発電中さん垢版2018/04/09(月) 21:35:18.64ID:YqBI3+bw
久しぶりにLTspice使ったけど、ライブラリの追加が わかりにくい。
ProgramFiles...の中にもLibフォルダがあるので、一生懸命追加したけど、ちっとも認識しない。
困っていたら、MyDocument....の中にLibフォルダにも全く同じフォルダがある。
なんだこれ??
 シンボル編集画面でCTRL+Aをして記入するLibは、パスが途中まで通ってるみたいだし、
何がなんだか、サッパリ。
0888774ワット発電中さん垢版2018/04/09(月) 22:52:50.37ID:8nyax/m3
SIMPLISは、少なくともスイッチング式の回路の解析には圧倒的なアドバンテージ
あるからなあ

フリー版の回路規模制限はあるけど、スイッチング式の部分の周波数応答特性だけ
SIMPLISで求めておいて、(ほぼ)等価な特性の回路をLTspiceに入れてざっと検証
最後に完全な回路を入れて検証とか、色々やり方はある
0889774ワット発電中さん垢版2018/04/09(月) 23:13:52.81ID:FIHLBNec
>>887
それはWindowsのUACの罠だから
一回アンインストールして
Program Files や Program Files (x86) 以外のところにインストールすると
いろいろ捗る
0890774ワット発電中さん垢版2018/04/14(土) 00:22:58.62ID:4qpzcbPb
>>888
ADがLTCを吸収したのを契機に、SIMPLISの回路をLTspiceのサブサーキットとして
仕えるようにならんかなあ、って夢想
0892774ワット発電中さん垢版2018/05/05(土) 02:09:32.72ID:WrPqEAek
LTspiceは、もう少し解析を速くしてくれ。頼むよ。
0893774ワット発電中さん垢版2018/05/07(月) 11:51:05.23ID:j8UzQDUw
iOSで回路シミュレータやりたくてiCircuit入れようと思うんだけどCMOSとか周波数特性とか解析できる?
0894774ワット発電中さん垢版2018/05/09(水) 03:36:47.71ID:Mqvqtskt
>>892
同感
0895774ワット発電中さん垢版2018/05/12(土) 14:14:28.16ID:MALeKFEv
すいません、SPICEモデルの電圧スイッチについて聞きたいことがあります
初めて電源回路を組もうとしたら電源オン時の突入電流にびっくり(シミュレーションだけど)して、
リレーを使った遅延回路を組もうと思ったのですが、
コイルに当たる部分の電圧検出部に電流が全く流れないのはそういう仕様なんでしょうか?
でもって実際のリレーを模したシミュレーションする場合はこんな感じでコイルに応じた抵抗をぶら下げればいいのでしょうか?
https://i.imgur.com/o6jsXIw.png

物としては±11V、LRそれぞれに上下600mAずつ必要なヘッドホンアンプです
Π型にしようと思ったらトランスの出力電圧をもっと上げないといけなくなって選択肢が少なくなるので、
できればリレーでどうにかしたいです
でも上記でシミュレーションしてリレーオンのタイミングが最悪の場合で27Aくらいいっちゃいます
https://i.imgur.com/DfXyneG.png
この場合、開閉時の容量を全体の電圧×電流で考えればいいのか、電位差×電流で考えればいいのかわかりません

ネット上ではあんまり電源回路を大真面目にLTSPICEで作ってるのを見ないので心配です
頼れる友人もいません
だれかおしえてくだだい
0897774ワット発電中さん垢版2018/05/12(土) 14:48:57.47ID:JFGNFGHV
>コイルに当たる部分の電圧検出部に電流が全く流れないのはそういう仕様なんでしょうか?

SPICEは各節点の電流素の総和がゼロ判定値になるよう、節点間の電圧をぐるぐる
微調して追い込んでいくイメージで解析が進みます。
つまり、流れないようなネットリストになっているのです。

いきなり自分が持っている回路図をそのままSPICE上に展開せず
各コンポーネントの動作を細かく追うことをお勧めします。
突入電流に驚かれているようですが、そういうインピーダンスの変化しかしない
コンポーネントを並べただけでは、いくら遅延させても突入電流が流れます。

急がば回れで、まずはここから始められては?
http://gomisai.blog75.fc2.com/blog-category-15.html
0898774ワット発電中さん垢版2018/05/12(土) 15:07:00.80ID:MALeKFEv
もってる回路っていうか、LTSPICEでスムーズに計算が進む形にするべくほぼフルスクラッチで作ったので、
参考になる回路がなかなか無いんです…
リレーをSPICEでシミュレーションしてる物もなかなか見つかりません
かといってリレー使わないで抵抗をシリーズで入れてゆっくり流れるようにすると欲しい電圧がなかなか得られなかったりしますし…
0899774ワット発電中さん垢版2018/05/12(土) 15:14:47.25ID:MALeKFEv
色々試したんですが、たとえば電源電圧高めに取って3Ωをシリーズに入れれば電源投入時に7Aちょいで済むんですが、
そうすると出力電流の変動が大きくなって結果としてアンプのノイズフロアが悪化します
熱雑音以下ではあるんですけど、もしそれでいいならもうスイッチング電源でいいやってなっちゃうので…
0900774ワット発電中さん垢版2018/05/12(土) 15:56:23.80ID:MALeKFEv
せめてここだけでも知りたいです
>でもって実際のリレーを模したシミュレーションする場合はこんな感じでコイルに応じた抵抗をぶら下げればいいのでしょうか?
https://i.imgur.com/o6jsXIw.png
0901774ワット発電中さん垢版2018/05/12(土) 16:31:41.64ID:JFGNFGHV
回路図(.asc)を右クリック->View->SPICE Netlistで表示される内容がネットリストで、C言語などのソースコードに当たる。
*回路図.ascのパスで始まり
.backanno
.end
で終わっていれば、途中の順番はどうでもいい。

@以下のネットリストになるようにシンボルを並べて、timの電圧を確認
*回路図.ascのパス
B1 tim 0 V=time
.tran 1m
.backanno
.end

A以下のネットリストになるようにシンボルを並べて、sw_rの電圧を確認
*回路図.ascのパス
B1 tim 0 V=time
B2 sw_r 0 V=sw(V(tim))
.tran 1m
.func sw(x)=Table(x,(0,1Meg),(0.1m,1Meg),(0.11m,10m),(0.2m,10m),(0.21m,1Meg),(0.3m,1Meg),(0.31m,10m))
.backanno
.end

B以下のネットリストになるようにシンボルを並べて、V2に流れる電流を確認
* 回路図.ascのパス
B1 tim 0 V=time
B2 sw_r 0 V=sw(V(tim))
R1 N002 0 R=V(sw_r)
V1 N001 0 16
V2 N001 N002
.tran 1m
.func sw(x)=Table(x,(0,1Meg),(0.1m,1Meg),(0.11m,10m),(0.2m,10m),(0.21m,1Meg),(0.3m,1Meg),(0.31m,10m))
.backanno
.end

まず手あたり次第にシンボルを置いてネットリストを見て…を繰り返し、どのシンボルがどのコンポーネントかを把握する。。
それから、@ABは何を表現しているのか、じっくり考えてみよう。
0905774ワット発電中さん垢版2018/05/12(土) 17:31:19.41ID:MALeKFEv
   ∩___∩
   | ノ      ヽ
  /  ●   ● |   こうですか!?わかりません!
  |    ( _●_)  ミ
 彡、   |∪|  、`\
/ __  ヽノ /´>  )
(___)   / (_/
 |       /
 |  /\ \
 | /    )  )
 ∪    (  \
       \_)
https://i.imgur.com/ndR7LJL.png
0908774ワット発電中さん垢版2018/05/12(土) 17:49:13.53ID:JFGNFGHV
@時間の経過を電圧で表現(1mVを1msと見なす)
Aテーブルで表現された抵抗の時間変化を電圧で表現 (10mVを10mΩと見なす)
BAの変化を実際にRコンポーネントに反映させた時の電流

リレーのチャタリングを表現。

自分が持ってる現物の挙動をSPICEで表現するには、コンポーネント特有の挙動を把握しなければならない。
SPICEのシンボルは、現物の回路図とは全く違う。
0909774ワット発電中さん垢版2018/05/12(土) 18:03:30.79ID:MALeKFEv
うん
電源電圧ただ分圧しただけだとチャタリング起きるだろうなと思って、
ツェナーで電流ぶった切ってTrのベースに突っ込んでスパっとコイルがオンになるようにしたつもり
0910774ワット発電中さん垢版2018/05/12(土) 18:11:10.86ID:JFGNFGHV
その発想は現物に寄り過ぎている。
SPICE特有の世界観を体得しないと、現物とのギャップを埋めることができない。

「モノは思ったように動くのではなく、作ったようにしか動かない。」

この格言を聞いたことが無いかな?
SPICEでも一緒です。
0912774ワット発電中さん垢版2018/05/12(土) 18:30:30.10ID:JFGNFGHV
聞いたことが無いか。
最近のゲームはキッチリ作りこまれてるから、いい例が無いな。

スーパーマリオメーカーで連続でカメを踏んで1UPを無限に繰り返すように、ステージをデザインできる。
この発想のオリジナルは、ファミコンのスーパーマリオで普通のプレーの中で発見されたものだ。
無限に残機を増やせるのだから、ゲームバランスを崩すのでバグ。
でも、プレーそのものには影響しないのでバグじゃない。

あなたのSPICEモデルも同様、解析結果が出る以上、どこにも問題が無い。
単に、あなたが想定している挙動と違うだけ。
このギャップを埋めるには、どこまでも精緻にモデリングするしかない。
0913774ワット発電中さん垢版2018/05/12(土) 18:37:11.58ID:MALeKFEv
いや自分のモデルっていうか標準で入ってるSWシンボル使って動作するように組んだからそら動くけどさ
まあいいや、ダイオードいくつか燃やしてたしかめます
0914774ワット発電中さん垢版2018/05/12(土) 18:42:14.15ID:JFGNFGHV
そのSWシンボルがあなたの想定している挙動と違うんでしょう。
ただ、それはあなたの想定であって他人には分からない。
だから「違う」「違う」と連呼されても、助けようが無い。

SPICEは単なる計算ツールなので、使いこなせる人はどこまでも使いこなせるが、ダメな人は全くダメ。
0915774ワット発電中さん垢版2018/05/12(土) 18:48:51.57ID:MALeKFEv

別に違わくはないよ?
ただ「電圧検出部に電流が流れない仕様」であることを確かめたくて、
もしそうでないならここに当てはめるパラメータは存在しないのかな?
って疑問があっただけで
流れないなら流れないで代替案でシミュレートしてみたけどみんなどうしてますか?
ってだけなんだけど
0916774ワット発電中さん垢版2018/05/12(土) 18:51:50.15ID:MALeKFEv
びっくりしたのは電源回路組んだのが初めてで、コンデンサに流れ込む時の負荷がこんなにあるもんなんだってとこだけで、
こういうのは想定以上ではあっても想定外ではないと思うんだけど
0917774ワット発電中さん垢版2018/05/12(土) 18:53:02.87ID:JFGNFGHV
その感じ、SPICEを過大評価しているね。
F1押してヘルプを見たか?SWで検索すれば Voltage Controlled Switchが見つかるはずだ。

そこに書かれてある以上のことはできない。
0919774ワット発電中さん垢版2018/05/12(土) 18:55:10.72ID:MALeKFEv
いや、ていうか読まないとオン抵抗オフ抵抗動作電圧とか書けないじゃん…
0920774ワット発電中さん垢版2018/05/12(土) 18:56:05.25ID:JFGNFGHV
>>918
そう。
SPICEってそういうものです。
そのあっさりとした機能を組み合わせ、現物に近づけるのです。
現物のL、C、Rも、SPICEで表現するには非常に複雑なモデルになります。
0921774ワット発電中さん垢版2018/05/12(土) 18:57:53.49ID:MALeKFEv
いやだからさ、それをみんな実際はどうしてるの?っていう
スイッチ一つにサブサーキットまで作りこんでんの?
0923774ワット発電中さん垢版2018/05/12(土) 19:10:13.94ID:y6EXFD5l
>>921
実現したい機能性能を得るために、単純なパーツをサブサーキットまで起こして
作りこむのはよくあることだよ、それが「モデリング」だ
今回の件にピッタリ当てはまる例を自分は知らないので、すまんがアドバイスはできん
あくまで一般的な話として口出ししてみた

てか、あんた質問のしかたがおそろしくヘタだな
まあ、それだけ切羽詰まってんのかもしれんが
0924774ワット発電中さん垢版2018/05/12(土) 19:26:57.23ID:MALeKFEv
質問たってこの二行だけじゃん
>コイルに当たる部分の電圧検出部に電流が全く流れないのはそういう仕様なんでしょうか?
→はい

>でもって実際のリレーを模したシミュレーションする場合はこんな感じでコイルに応じた抵抗をぶら下げればいいのでしょうか?
→それで足りる人もいれば足りない人もいる、足りない場合はサブサーキット起こす

ここまでの話まとめたらこんだけじゃん
教えてもらっといてあれだけで回りくどすぎるよ
0927774ワット発電中さん垢版2018/05/12(土) 21:08:03.26ID:JFGNFGHV
>>924
あ、調子でてきたね。
その「回りくどすぎる」こそが、SPICEの入り口なんですよ。
GUIが基板CADと一緒なので勘違いしてしまうのは仕方が無いのですが
SPICEは回路図を描いているのではなく、プログラミングしているのです。
.SUBCKTは文字通り、サブルーチンと同じ機能です。

プログラミングをよく知らない人は、スマホのタッチパネル操作を実現するのに
膨大なコードが必要なことを知らないでしょ?
今のあなたが正にそれ。
0930774ワット発電中さん垢版2018/05/12(土) 21:54:37.40ID:y6EXFD5l
>>929
あんたツンデレだったのか
ごめんごめん、話の腰折っちゃった
オレはもう引っ込むから話続けてくれ
0931774ワット発電中さん垢版2018/05/13(日) 01:20:30.85ID:Ve50hzPZ
ID:MALeKFEv
納得するまで質問を続けてくれ。
できる限り答えるよ。

LTSpiceであなたは回路図を描いたつもりでも、実際は以下のプログラムを書いたのです。
(B、R、VはC言語のprintfやscanfに相当する。)

節点timと0の間にB1を置きなさい。節点間はV=timeとしなさい。
節点sw_rと0の間にB2を置きなさい。節点間はV=sw(V(tim))としなさい。
節点N002と0の間にR1を置きなさい。節点間はR=V(sw_r)としなさい。
節点N001と0の間にV1を置きなさい。節点間は16Vです。
節点N001とN002の間にV2を置きなさい。
過渡解析を1msec実行しなさい。時間の最大分解能はデフォルトです。
以下の関数を定義しなさい。 sw(x)=Table(x....
回路図の各節点と解析結果を接続しなさい。


* 回路図.ascのパス
B1 tim 0 V=time
B2 sw_r 0 V=sw(V(tim))
R1 N002 0 R=V(sw_r)
V1 N001 0 16
V2 N001 N002
.tran 1m
.func sw(x)=Table(x,(0,1Meg),(0.1m,1Meg),(0.11m,10m),(0.2m,10m),(0.21m,1Meg),(0.3m,1Meg),(0.31m,10m))
.backanno
.end
0933774ワット発電中さん垢版2018/05/13(日) 19:12:10.36ID:iYRtNrjJ
いきなりスレが伸びてるんでのぞいてみたんだけど
突入電流が(恐らく現実の回路と比較して)巨大になる原因は
トランスの出力を単純にSINEでモデル化してるところだよね
まあ、トランスの正確なモデル作りは困難だとしてあきらめるとしても
2次側の巻き線抵抗程度はテスターで測って入れられるよね
0934774ワット発電中さん垢版2018/05/13(日) 19:26:08.30ID:iYRtNrjJ
普通に電子工作とか回路設計の経験のある人なら
この程度のコンデンサインプットの整流回路に、突入電流抑止のための回路を
入れないといけないという発想は出てこないように思うんだけど
スイッチング式のACアダプター全盛になって、トランス式の電源を見かけることが
少なくなったんで昔の常識が通用しなくなりつつあるのかな
0935774ワット発電中さん垢版2018/05/13(日) 19:53:36.56ID:yCFVHAEA
こんなデカい突入電流、実際の回路じゃそうそう起きないんじゃないかな?
シミュレーションの中だけで起きる現象だ
要するにモデリングが足りないんだよ
そこはコンピュータの世界だけで完結するのは難しくて
ある程度、実測値とか経験値でアシストしなきゃいけない

質問主はまさにそこを聞きたかったんだろうけど、回答者はのらりくらりかわして
なかなかズバリ答えをくれない、んでキレてしまったと
回答者としては、なるべく自分で考えてもらいたかったんだろうなあ
0936774ワット発電中さん垢版2018/05/13(日) 22:00:51.87ID:iYRtNrjJ
質問者はハナから現実の回路(デバイス)でも突入電流が問題になると決めつけて
抵抗とリレーを使って制限回路を作らないといけない→
そのシミュレーションがうまくいかない?どうして?という形で(全部読んでないけど)
質問したから、回答者のほうはそれにひきずられちゃったんだろうね
0937774ワット発電中さん垢版2018/05/14(月) 23:33:38.53ID:5URGgwO6
>回答者としては、なるべく自分で考えてもらいたかったんだろうなあ
こういう分析しかできんから

>要するにモデリングが足りないんだよ
などと、質問者が自認していることを結論に持ってきた自分の間抜けっぷりに気づかない。

私がID:MALeKFEvに示そうとしたのは、SPICEはCやJavaなどのコンピューター言語であって
現物の回路図と全く異なるということだよ。
SPICEは自分が無意識に省略・無視している現物の電気特性を細かく記載しなきゃならないのだが
それは考えるだけではダメで、実際にコードを書いて、回りくどさを感じなくなるまで、SPICE特有の
世界観を体得しなきゃならない。

>ID:MALeKFEv
SPICEはソースコードにあたるネットリストをキルヒホッフの電流則に基づいて
各節点の電流素の総和がゼロになるように説いているに過ぎない。
だから、節点間の電流と電圧の関係さえ指示すればいい。
その裏返しで、自分が求める挙動を示すまで、過不足なくコンポーネントを置ききらないとダメ。

ちなみに、LTspiceはネットリストはR、L、Cなど複数のコンポーネントを使い分ける必要はなく
たった1つのコンポーネントだけであらゆるモデルを組むことが出来る。
ネットリストの可読性が悪くなるのでお勧めはしないが、コンピューター言語だとよく分かるコードになる。
0939774ワット発電中さん垢版2018/05/15(火) 10:34:45.80ID:NT3tUOJW
>>933>>934
電子工作自体はギター用のエフェクターしかやったことがなくて、100Vの電源を使うのは今回が初めてです
以前にエフェクターのコンデンサ欲張って470μぶら下げたら繋いだ瞬間に他のエフェクターが落ちるなんてことがあったので、
突入電流ってこええなとか思ってたとこです
たしかにトランスの各種損失を失念してました
データシートに書いてないので実際に買って確認するしかないですね
遅延回路は必要があればポップノイズ対策に使おうと思います

>>937
死ね
美術と宗教はよそでやれ
0943774ワット発電中さん垢版2018/05/16(水) 17:24:14.74ID:L3xFynFO
見たくないだろうけど結果報告です
さすがに二万近くするトランスをいきなり買うのはさすがに気が引けたのでもうちょっとよくググりました
トランスの選択肢の多い115-24Vのトランスを使うことにし、
下記のサイトを参考にしてだいたいの内部抵抗のアタリ(6.25Ω)と無負荷時の電圧のアタリ(±20V→±28V)をつけてSPICE回したら、
特に問題が出るような突入電流にはなりませんでした
http://retireji.z-sound.biz/contents/012.html
http://hayashimasaki.net/tubebook/tubebook28.html
https://i.imgur.com/uOxGIte.png
>>933>>935
ありがとうございました

こんだけ内部抵抗ありゃ無帰還でも帰還かけても変わらんだろうなあ、と思ってシミュレーションしたら、
アンプのバックグラウンドノイズにけっこうな違いが出ました
無帰還
https://i.imgur.com/Xj74pNS.png
NFBあり
https://i.imgur.com/NsYEDHF.png
同じ設定なんですが無帰還のほうはなんか自動で計算端折ってるんですかねこれ?
問題もなさそうなので無帰還のままいこうと思います

デカいトランス使うつもりなので一次側にはサーミスタを入れとこうと思います

>>942
でもLTSPICEとKicadのおかげで面白いエフェクター作れたので覚えてよかったと思う

>>937
死ね
0944774ワット発電中さん垢版2018/05/21(月) 09:40:17.14ID:+YPLsCwK
ユニークで個性的な確実稼げるガイダンス
暇な人は見てみるといいかもしれません
グーグルで検索するといいかも『ネットで稼ぐ方法 モニアレフヌノ』

SSRVJ
0945774ワット発電中さん垢版2018/07/01(日) 23:06:54.92ID:uFOI5POg
なんか忘れてたけどLTSpiceのマウスパッドが今頃送られてきた
https://imgur.com/2vnURCk
0946774ワット発電中さん垢版2018/07/02(月) 11:52:04.82ID:zndwW2Eh
トリガを開始地点にして任意の時間からスロープ電圧源を動作させる方法をご存じないでしょうか。
0947774ワット発電中さん垢版2018/07/02(月) 13:17:44.77ID:Z9EIT2lO
コンポーネント選択画面のvoltageのPULSEは
トランジェント解析中に挙動を変えられません。
bvのビヘイビア電源を組み合わせる必要があります。
一例です。

B1 tm 0 V=time
B2 tg 0 V=if(V(tm)-trig+0.5,0,1)
B3 slp 0 V=idt(alpha,0,V(tg))
.param trig=1u
.param alpha=10


解説
B1: V=timeにすると、トランジェント開始からの時刻が電圧V(tm)になる。
B2: ifは>0.5で論理判定するので、0.5をかさ上げ。trigで判定時刻を調整。
B3: alphaの積分値が電圧V(slp)になる。V(tg)=0まで0を維持。alphaで傾きを調整。
0949774ワット発電中さん垢版2018/07/03(火) 21:46:21.74ID:TUeFXinq
F37
0950774ワット発電中さん垢版2018/07/11(水) 19:51:41.73ID:KxpxhYAI
アナログ値をトリガでラッチする方法ってありますか?
演算で刻々と変化する信号をある外部トリガが上がると、そのタイミングの値を保持するようにしたいんですが
0952774ワット発電中さん垢版2018/07/12(木) 20:06:20.55ID:zTnAQvQ7
ありがとうございます
理想素子で構成すれば遅れを限りなく減らせられそうですね
0953774ワット発電中さん垢版2018/07/17(火) 06:14:25.16ID:qgMpksyT
ゲートの下向きについている端子の使い方を知っている人がいたら教えてください
例えば、ANDならば左に5端子(入力)、右に2端子(非反転、反転出力)、下に1端子出ています
Webの例では未使用端子と下向きの端子はGndに接続する(論理Lを入力するという意味ではなく端子未使用という意味)らしいのですが
未接続のままでも動作します
この下向きの端子はどこかに接続すると何らかの機能があるのでしょうか?
(閾値電圧を制御できるとか、入力端子数を拡張できるとか…)
0954774ワット発電中さん垢版2018/07/19(木) 22:49:20.29ID:BUkJyRaU
A1 N003 N001 N003 N002 N003 N003 11_10 N003 AND
V1 N003 0 10
V2 N002 N003 1
V3 N001 N003 PULSE(0 1 0 1n 1n 1u 2u)
.tran 10u

こういうレベルシフトぐらいしか、思いつかないな。
0955774ワット発電中さん垢版2018/07/27(金) 19:12:15.80ID:fFnYILOS
>>953
文字説明だけでは、わからない。
絵を示してほしい。
0956774ワット発電中さん垢版2018/07/27(金) 23:06:50.14ID:5KOfvz3i
Nch FET4個でブリッジ回路を組んでロジック回路でゲートを駆動する場合において
ハイサイドのFETをドライブするゲート回路の基準電圧値を設定するとかに使えるのかな。
0957774ワット発電中さん垢版2018/07/28(土) 09:29:07.06ID:MysO3UOP
>>953
そのゲートの型番を教えてください。
5入力、差動出力って、ECLなのか?
0958774ワット発電中さん垢版2018/07/28(土) 09:31:29.62ID:WOXkhgWB
>>957
ここ、LTSpiceスレです。
デフォルトで、まず、LTSpice のライブラリのAND回路をチェックされてみてはどうでしょ。
0960774ワット発電中さん垢版2018/07/28(土) 10:58:54.12ID:WOXkhgWB
>>959
>B電圧源で飛ばせば済む。
むむむ。くわしく。(LTSpiceのシミュレーションの話ですよね?)
0962774ワット発電中さん垢版2018/07/28(土) 11:24:21.43ID:j0iQ4FoM
cd間の電位差がab間の電位差になる。

と表現した方が正しいかな?
0963774ワット発電中さん垢版2018/07/28(土) 11:40:42.87ID:WOXkhgWB
>>961-962
ありがとうございます。
0964774ワット発電中さん垢版2018/07/31(火) 11:08:21.48ID:hyLvjvbE
インダクタンスや抵抗値を解析中に動的に変化させる方法をご存じないでしょうか
電流で磁気飽和した場合にインダクタンスが下がるのを模擬したいのですが
0965774ワット発電中さん垢版2018/07/31(火) 11:23:59.35ID:5bF2eDx0
>>964
LTspiceのHelpの L. Inductor の Bs |Saturation flux density |Tesla
または
LTspice 磁気飽和 で検索
0971774ワット発電中さん垢版2018/08/04(土) 21:12:09.36ID:TaV0nKr7
すみません、よく見たらデータシートもそうなっていました。(;_;)
0972774ワット発電中さん垢版2018/08/10(金) 12:09:47.77ID:vELGwpOk
例えばNPNトランジスタを配置してその後PicNewTransistorで2N3904とかに設定したものをまたNPNに戻すには削除してまたComponentから拾ってきて配置しないといけないでしょうか?
配置したものを右クリックだけでNPNに戻せないですか?
0974774ワット発電中さん垢版2018/08/10(金) 20:42:06.28ID:O+M7VCVp
思い出した。
http://www7b.biglobe.ne.jp/~river_r/bell/sc3_memo/sc3_memo.html


デバイスのパラメーターをステップさせるときに使う「ako」とは、 Ako, a k(ind) o(f) model なんだそうです。 全く思い至らなかったな。
 .STEP param M list 1 2
 .model 1 ako:2N3904
 .model 2 ako:2N3904 NPN BF=200

この辺でいろいろ紹介されています。
http://groups.yahoo.com/group/LTspice/files/%20Tut/Stepping%20to%20the%20max/
0975774ワット発電中さん垢版2018/08/13(月) 18:21:28.34ID:SjYgppQp
DCDCコンバータICのシミュレーションが、とてつもなく遅いです。
10msやるのに、10分以上かかります。
もっと粗くていいので、速く終わる方法はないのでしょうか?
レス数が950を超えています。1000を超えると書き込みができなくなります。

ニューススポーツなんでも実況