X



トップページ電気・電子
1002コメント285KB
【FPGA/CPLD】 XILINX/ALTERA/Lattice/Actel #29
レス数が1000を超えています。これ以上書き込みはできません。
0006774ワット発電中さん垢版2020/12/03(木) 00:16:39.13ID:cGIdo+Ej
XILINX → 消滅?
ALTERA → 消滅
Lattice → 存続
Actel →消滅

スレタイが息してない件
0007774ワット発電中さん垢版2020/12/03(木) 02:53:27.94ID:I7Ocqq2j
どこまでいっても試作/特殊用途向け少量が多くて本質的に儲けが出にくいから辛いところよな。

RISC-Vソフトコアで多少盛り返すといいね。
0008774ワット発電中さん垢版2020/12/03(木) 03:05:22.18ID:P+LAl8Qb
>>7
あんなのクソだから盛り返さない。
大手半導体メーカーがさっさと量産すればいいだけ
FPGAメーカーは、その半導体チップを内蔵して高値で売りつければいいだけ。
0009774ワット発電中さん垢版2020/12/03(木) 03:37:01.51ID:P+LAl8Qb
RISC-V がFPGAで動くと騒いでいる連中は

HDLすら書けず
HDL書けるFPGAエンジニアが、概ねそのままASIC技術者に移行出来ることを理解できてない
概ね1-3年以内に数千円でチップが出揃う
その後は、FPGAに見向きもしなくなる人種

Chisel は、MATLAB(Scilab) HDL CorderとHDLの中間でしかない。
数値演算のブロックをつくり、HDLに変換させる場合は便利だと思う。
それ以外は手間しかかからない。

異論求む
0010774ワット発電中さん垢版2020/12/03(木) 04:03:14.76ID:wGtFGtMY
RISC-V で恩恵受けるのは、HDDメーカー等 大量に使ってるところだけだ
実際、 ARMに金を払わんで済むわけだから 真っ先に動いたよな

苛められてるシナにとってはメリット大かも知らんが、それ以外ところは様子見
目に見えるメリットでもない限り動かんだろう
0011774ワット発電中さん垢版2020/12/03(木) 08:14:12.12ID:SRDw1Npg
>>10
みたいに「そのときになったら動けば良いんだ」的な人を時々見かけるけど
良質のCPUコアなんて一昼夜で出来る物ではない
RISC-Vが本流になったら中国からIPやチップを買うつもりなのだろうか
0013774ワット発電中さん垢版2020/12/03(木) 11:03:33.49ID:SRDw1Npg
そりゃ日本の半導体産業は衰退するわけだ
最先端プロセス&高性能プロセッサは脱落したし
マイコンが脱落するのも既定路線か
0014774ワット発電中さん垢版2020/12/03(木) 12:43:37.27ID:HAap7bFQ
>>13
日本の半導体産業が廃れたのは日本企業に愛国心が足りないからではなく、
半導体メーカーの経営者が攻めの投資をしなかったからだ
0015774ワット発電中さん垢版2020/12/03(木) 13:00:42.39ID:/zE9rm/6
>>14
原因は経営者個人の問題ではなく、戦略性や論理性が軽視される社会風土にあると思う
1社だけじゃなくドングリの背比べだし、大企業なんてみんな揃って先行き不透明
ある程度戦略的に動いて結果を出せているのはソニーくらいか?ソニーも一時期は危なかったが

今マイコンを作っているのはルネエレと東芝とソニーセミコンくらいだと思うけど
ソニーセミコンはともかくルネエレと東芝はあまりやる気が感じられないね。販拡する気あるのだろうか
0016774ワット発電中さん垢版2020/12/03(木) 18:41:46.86ID:cGIdo+Ej
日本企業の優秀な技術者は40歳で年収1000万くらい?
海外大手は新卒で同じくらいだすよね
0019774ワット発電中さん垢版2020/12/03(木) 21:52:28.52ID:xgodh7bD
派遣で年収300万円ぐらいで、某FPGA商社にサポート問い合わせると、彼ら仕事ができないから、トンチンカンな解答しか出来ない。あれどうにならんの?給与倍以上貰ってんのに半分の仕事も出来なくて草
0021774ワット発電中さん垢版2020/12/04(金) 07:42:38.28ID:phv/UPuc
商社に問い合わせてる時点でトンチンカンだと気づけ。
0023774ワット発電中さん垢版2020/12/04(金) 10:52:23.01ID:ua5v1aMM
まずはデバイスメーカーのテクニカルサポートか公式フォーラムじゃないの?
0024774ワット発電中さん垢版2020/12/04(金) 12:33:23.73ID:phv/UPuc
それは最近始めた人の考え方で、
10年以上前はどんな些細な事でも「代理店通してくれ キリッ」
って感じのメーカー多かったよ。
0025774ワット発電中さん垢版2020/12/04(金) 12:44:04.69ID:OWSSopiI
ここは10年前のスレじゃないんですよ
いい加減、今を生きてください
0026774ワット発電中さん垢版2020/12/04(金) 12:48:54.63ID:Sgyy4MWl
Intelの問い合わせ先って何処っすか
0027774ワット発電中さん垢版2020/12/04(金) 13:33:42.81ID:HkMwPgcv
flex8000シリーズが大量にあるんだけど、久しぶりに遊んでみようかと思ったらQuarus対応していないんだね。
intelになっちまったし捨てるか。
今度必要になったらxilinxにするかな。
0030774ワット発電中さん垢版2020/12/04(金) 21:52:03.47ID:GjDkNnK6
>>24
そりゃ試作でしか使わない奴が問い合わせても門前払いだろ
年100億円くらい買えばVIP待遇でもてなしてくれると思うぞ
0031774ワット発電中さん垢版2020/12/04(金) 23:05:15.28ID:5O4qW3qK
>年100億円くらい買えばVIP待遇でもてなしてくれると思うぞ
ここで語る一般論ではないしね。
0032774ワット発電中さん垢版2020/12/05(土) 02:31:06.75ID:oVVX3NEn
スーパーエリートエンジニアの皆さん、もっともっと仕事をしてください
私のようなクソザコ一般市民に仕事が回ってこないように、世の中の仕事を全部自動化してください
私は仕事をすることなく平和にニート生活をしたいんです
0034774ワット発電中さん垢版2020/12/06(日) 03:18:00.90ID:MC+2RW6Q
年収1000万円超のスーパーエリートエンジニアの皆さん、本当によろしくお願いしますよ
0035774ワット発電中さん垢版2020/12/06(日) 11:07:33.91ID:TN0VICjw
別にエリートじゃないけどな。
在宅勤務で残業代減って厳しいよ。
ヒマな時間は増えたけど。
0036774ワット発電中さん垢版2020/12/06(日) 22:24:20.49ID:9XEykP3C
優秀なエンジニアは年収1000万になる前に管理や企画に転向するやろ
少数精鋭のベンチャーとかは知らんが
0037774ワット発電中さん垢版2020/12/06(日) 23:30:16.30ID:HDjTWDlI
年収1000万円超のスーパーエリートエンジニアマネージャの皆さん、本当によろしくお願いしますよ
0038774ワット発電中さん垢版2020/12/07(月) 04:59:13.45ID:4yE7+yxY
あの富岳でARMが使われたわけだけど、国立天文台などの
研究機関などで多体重力問題の計算専用コンピュータに
RISC-Vが使われたりしてるらしいね。

AI用にはGPUが主流みたいだけど、RISC−Vで
AI用の大規模な並列計算チップを組む研究ってどうなの?
0040774ワット発電中さん垢版2020/12/08(火) 01:02:26.72ID:i/7b0h+k
まず日本語を話してください
「富岳」「ARM」「国立天文台」「多体重力問題」「RISC-V」「GPU」のような難しい言葉が日本人に通じるわけないでしょ

…などとうちの大学教授なら言い放つね
0041774ワット発電中さん垢版2020/12/08(火) 01:39:12.63ID:vH52Ly7V
近年の大学教授は、自分の専門分野の教科書すらろくに読んだことがなかったりするからね、仕方ないね
0042774ワット発電中さん垢版2020/12/08(火) 07:19:09.58ID:AVuqeYfE
ある分野に秀でた人に、普通の人と同じ常識を求めるのは、単に負担増でしかないのにな。

学者でも芸能人でもスポーツ選手でも、一般人の物差しで量って叩く人いるね。
0043774ワット発電中さん垢版2020/12/08(火) 18:07:50.11ID:L65zqwiq
>>38
どうなのって聞かれても、何について答えればいいのだろうか
性能?コスパ?将来性?
0044774ワット発電中さん垢版2020/12/08(火) 18:41:30.83ID:qPogNDM8
金払わなくていいから
アカデミックに好まれるんじゃね
富嶽の次はRISC-V
kamone
0046774ワット発電中さん垢版2020/12/08(火) 22:02:41.39ID:VGekha0l
>>44
ARMのIPは教育機関なら無料か格安で使えなかったか?

同じ理由で大学時代は高価なソフトを使いまくってたな、MATLAB,ANSYS,CODE V…
0048774ワット発電中さん垢版2020/12/08(火) 22:44:38.13ID:cIm4JrIu
マジレスするとスパコンのISAなんて何でも良いだろ
どうせ専用のコンパイラでコンパイルするようなのだから
既存のISAである必要性は全くない
0057774ワット発電中さん垢版2020/12/09(水) 21:45:02.41ID:3ihlX4bR
>>55
IBMのPC ATはIBM PC AT 5170 Technical Reference 1502494(通称Blue Book)で内部の回路全公開されてた
0059774ワット発電中さん垢版2020/12/11(金) 15:36:38.78ID:tO6QGFcp
>>53
バグを認識してインテルに直させるような事をしない。何を売りに来てんのかと。あれでも商社なのか
0061774ワット発電中さん垢版2020/12/12(土) 12:31:28.14ID:912SAuFx
XILINXのIP AXI-VDMAのシンプルなサンプルプロジェクト どっかに無いですか?
とても知りたいです。
0063774ワット発電中さん垢版2020/12/15(火) 00:37:44.25ID:aAEiwebO
おいみんな、仕事って楽しいよな
俺はもう仕事しないけど、お前らは日本の発展とみんなの楽しい生活のためにもっと頑張れよ
0064774ワット発電中さん垢版2020/12/15(火) 23:50:41.83ID:ggYHTH1K
頼んだぜお前ら
俺は今まで頑張ったから、後はお前らが支えてくれよな
0069774ワット発電中さん垢版2020/12/16(水) 18:54:54.96ID:7r8j61S3
TinyFPGA AX2 Board
とか
TinyFPGAプログラマ
とか
ちょっとした工作に使うのにいい感じの出来合い基板もあるし。
0070774ワット発電中さん垢版2020/12/22(火) 04:48:34.69ID:HSUjhamN
Intel FPGAのLinuxにバグがあるのに、
某○社は認識も出来ず、Intelに報告もせず。

Linuxがまともに動くFPGAを提案してねと、はっきり言って返事までしておいて何もやらんし。

捨てるしかないやん

我々は、Intelのムーアの法則を守る為に働いているわけでは無い。
目の前の出来事を解決出来るソリューションを求めているのに、それすら出来ず、ふんぞり返り、捨てられていることすら理解できない……

せめてさ、QualtusのModelsimスクリプト吐き出しにすらバグがある状況何とかしな。
0072774ワット発電中さん垢版2020/12/22(火) 12:36:52.61ID:hcpJuNyH
linuxをコンパイルするのはお前の役目だし
動かないのもintel関係ないから
0074774ワット発電中さん垢版2020/12/22(火) 18:09:23.66ID:LT16Hc49
最初の許諾に

あるがままに提供され…うんぬん…

って免責事項があるんじゃないか?

バグ報告とパッチも自分で造ってコミュニティに提供すると
みんな喜ぶよ!
0078774ワット発電中さん垢版2020/12/24(木) 11:02:17.26ID:m5F88N35
>>66
ありがとう
rsだとトレイ毎だったけどデジキーなら1個も可能なの見つかりました
0081774ワット発電中さん垢版2020/12/24(木) 16:06:45.37ID:0KGEVYDS
量産特価で入手したのを横流ししてる
大量使用だと案件毎に特価取るんだけど、digikey価格の1/3ぐらいからが価格交渉のスタート地点
あと実際の購入数に応じて下がってくステップ値下げがある
0082774ワット発電中さん垢版2020/12/24(木) 16:15:54.25ID:0KGEVYDS
Xのfpgaは速度グレードがデバイス表面へのレーザー刻印から、2Dバーコードでマスターのデータベースから都度取得するように変わったでしょ
横流し品は、データベースから情報が削除されて、詳細取得できなくされるらしい
aliで写真にバーコード見えてるデバイス単品売りをdevice lookupしてみると、詳細削除されてることが多い
0084774ワット発電中さん垢版2020/12/24(木) 18:24:51.42ID:AQ8SBdot
いちいちAliexpressやebayをチェックして登録削除とかしてんのかね。バイトかな。
0085774ワット発電中さん垢版2020/12/24(木) 18:30:19.32ID:0KGEVYDS
ロット単位でBANしてると思う
同じ2Dコードを持つデバイスがたくさんあるから

どの客にどのロットを出荷したかは分かってるから、横流しデバイスをBANすると同時に、横流しした客もブラックリスト入り
実装した完成品売るより、特価で仕入れたfpgaを横流しした方が儲かるからね
0086774ワット発電中さん垢版2020/12/24(木) 20:53:02.39ID:mQIIXb/y
相対的に安いのは分かるけど個人で買うにはちと高いな
動かなかったらショックで寝込みそう
0087774ワット発電中さん垢版2020/12/25(金) 12:56:06.76ID:KVtNi+Xl
xc7k160t-2fgg676cで比べてみると、digikeyでは43130円のところ、aliでは$48ぐらいから買える
5000円ぐらいで寝込むってどんだけ貧乏なのよと

bga実装するだけでも、国内工場に頼むと一個で10万円かかる
自家リフローできるようにすれば、試作費用を大幅に圧縮できる
中華pcbaでbga対応できるところに頼んでもいい
0088774ワット発電中さん垢版2020/12/25(金) 13:30:41.08ID:sKzthV+8
43000円の正規品買えないってどんだけ貧乏なの?
ってか、作ってから苦労するよ。
0090774ワット発電中さん垢版2021/01/05(火) 16:36:47.44ID:u4hUuHCt
質問なんだが、vitisとvivadoの違いって何ですか?
vitisのほうが簡単にC言語のプログラムを高位合成してハードウェアに適応できるのかな?
vitisもvivadoのようにIPインテグレータで配線しなきゃなのか?
0091774ワット発電中さん垢版2021/01/08(金) 02:47:05.43ID:yI/qiRob
>>90
vivadoはロジック部を作成するツール。
vitisはarmで動かすソフトウェアから関数を選んでハードウェアアクセラレータを生成してくれるよ
0094774ワット発電中さん垢版2021/01/09(土) 01:18:14.69ID:cNCa0vZY
>>92

Cをハードウェアに落とし込む際の最適化にノウハウが必要で、慣れてないと苦戦しますね
0096774ワット発電中さん垢版2021/01/09(土) 09:29:42.82ID:R6ku8iMX
頭がクロックの事心配する思考回路から
脱却できないので
Cベースとか使う気になれない
古いにんげんでふ
0098774ワット発電中さん垢版2021/01/10(日) 09:47:18.82ID:+kWy74Sq
とにかく動きさえすれば良し、その他の事は気にしない
デバッグ用とか、 納期だけが全てのやっつけ仕事とか・・・

そんなモンには使える
0103774ワット発電中さん垢版2021/01/10(日) 18:26:16.79ID:225k5wKm
alveo使ってる隣の部署はhls使いまくってるけどなあ

大規模FPGAや変更が頻繁に入るサーバー向けアクセラレータの開発なら
hlsが無いとやってられないと思う
0105774ワット発電中さん垢版2021/01/10(日) 21:22:25.58ID:Sizq/R8o
こちら底辺派遣だが、既存のIPよせあつめて繋げての検証ばっかだよ。
0107774ワット発電中さん垢版2021/01/18(月) 21:44:02.91ID:gqV94Hgt
RISC-Vで遊んでみたいのですがASICは実質GD32FV103しかないので未経験ですがFPGAを考えています
マイコンとしての使用を考えた場合どのくらいの規模のFPGAが必要になりますか?
既存のマイコンだとSTM32F4およびF7シリーズかRX600シリーズあたりの100〜144ピン程度を想定してます
CPUコアはSweRVを考えていて、マルチメディア系のアクセラレータは不要ですがSRAMは既存のマイコン相当に欲しいです
ADCも欲しいですが流石に外付けした方が良いですかね・・・
0108774ワット発電中さん垢版2021/01/18(月) 21:54:29.27ID:u+mbrtxB
名古屋の飯屋やばいわ
食事が終わったくせにいつまでもマスクを着けずにおしゃべりしてる奴は死ね
0109774ワット発電中さん垢版2021/01/18(月) 22:36:14.69ID:ec4Qs2fo
>>107
RISC-V のコアだけなら大きくはない。
そのSweRVがどのくらいかは知らないけど、
ダウンロードして合成してみればいいじゃない。
コアより周辺作るのが大変だよ。
0110107垢版2021/01/18(月) 23:56:27.86ID:gqV94Hgt
>>109
なるほどとXILINXのページに行ってみたら43GBとか書かれていました・・・
時間と回線に余裕がある時じゃないと試せそうにないです

SweRVはWestern Digitalが開発したコアで情報通りなら
最新のマイコンと比べても遜色ないCoreMarks/MHzらしいです
ttps://www.westerndigital.com/company/innovations/risc-v

>コアより周辺作るのが大変だよ。
そこは心配です。最低でもタイマと割り込みコントローラとGPIOくらいは必要でしょうし
0113774ワット発電中さん垢版2021/01/19(火) 04:31:14.58ID:vjktuMoq
43GBが辛ければWebインストーラで必要な奴だけインストールすれば少しマシになるけど、
もういっそVivadoが使えるPaaS(できれば無料)が欲しいよね
0114107垢版2021/01/19(火) 18:46:30.51ID:+dKtDxr3
ハードウェア要件見たらメモリも厳しそう(8GBしかない)。マシンから用意しないとダメかな

>>112
組み込み制御向けでRISC-Vがどの程度使えるのか評価したいです
ちょっと前だと
Rocketコア→Cortex-M0シリーズ
BOOMコア→Cortex-Aシリーズ
みたいな感じで>>107に書いたマイコンに匹敵するコアはなかった印象だったけど
SweRVがちょうどそこにはまるみたいなので試してみたくなりました
うたい文句通りであれば最も小さいSweRV Core EL2でもCortex-M4を上回り
RXv2程度の計算能力があることになります
K210は計算能力はともかくペリフェラルがショボすぎて制御用途には厳しいです

>>113
マイコンみたいにオンラインでちょっとお試しみたいなのが出来ると便利そうですね
0115774ワット発電中さん垢版2021/01/19(火) 19:04:25.91ID:bEKQZEik
M4相当じゃkintexくらいかもっと要りそうな気がする
コストが合わないよFPGAじゃ
自分ならARM+FPGAの基板にするしそうしている
ただ経験してみたい、勉強のため、と言うならいいけど
動き始めるまでの苦労が快感?な
0117774ワット発電中さん垢版2021/01/19(火) 22:49:18.26ID:oR5TN58H
>>115
ググってみたらチップだけで数万円で評価ボードは10万円?ですか
流石にちょっと試してみようと出せる値段じゃないです
う〜んどこかが作ってくれるのを期待するしかないのか・・・

RISC-Vのマイコンはそれなりに作られていますけどK210を含めASSP的なのが多くて
汎用マイコンとして使えそうなのってほとんど無いような気がします(GD32VF103だけ?)
GD32VF103もメモリをほぼ最小限しか積んでいない上に、メモリを外付けしようにも
バス幅16bitで半速なうえに、最大がQFP100だとピン数が厳しくなるし、そもそも
チップ単体で売っていないから基板を起こして自前で実装というのも難しいです
0119774ワット発電中さん垢版2021/01/19(火) 23:56:57.19ID:lJ5hZ58d
単体じゃarmに太刀打ちできないし、IPとして内製のasspに使うのが本流だろうね
あるいはそのうちどこからかesp32みたいなのに仕立て上げて出てくるかも
0120774ワット発電中さん垢版2021/01/20(水) 09:54:44.58ID:uogMZ0gT
RISC-V って命令セットだけだから性能なんて実装次第だよ。
AMD64 言って Atom230 から i7 まで一緒くたに語るようなもん。

そもそもその「制御用途」ってのが具体性に欠けて議論出来ない。
0123774ワット発電中さん垢版2021/01/20(水) 11:48:54.92ID:661UQ/+w
>>107
VivadoでもQualtusでも入れて見積結果出してデバイス検討しろ

やり方は、マク○カかパ○テックが教えてくれるだろう。

対応のいい方のデバイスを選べばOK
0124774ワット発電中さん垢版2021/01/20(水) 11:56:36.74ID:661UQ/+w
つうか、初心者何だから、セミナーでも受けて代理店に聞いてこい!

最近、代理店が初心者向けセミナーサボってるけどな
0126774ワット発電中さん垢版2021/01/20(水) 13:03:14.52ID:E95iBY2Q
>>125
タイマーカウンターFPGAで作れないような初心者が、RISC-V動かそうとしているんだ。
きちんとサポートして、FPGAのユーザーを増やしてやらないと、会社が無くなるぞ!

何かよくわかりませんが、ここにウンコおいておきますね

〇〇
○●〇
0127774ワット発電中さん垢版2021/01/20(水) 14:37:51.69ID:WM2vOT05
きちんと代理店が「FPGAに組み込みCPUをつくるための、評価ボード」を紹介できれば

>>117
のような、アホな話しにはならないよね

よかったよかった
0129774ワット発電中さん垢版2021/01/20(水) 17:33:18.41ID:00p/SUBx
FPGAマガジンで実装してたやつあったじゃん
手始めにそれやりなよ
0134774ワット発電中さん垢版2021/01/21(木) 12:48:20.41ID:+tZmUjvA
そのうち、100万個買わない客は客じゃねえとか社内で言い出して、10-20年後 リストラが始まるよ
0138774ワット発電中さん垢版2021/01/21(木) 18:45:58.63ID:IWJPiZrQ
ttps://toyokeizai.net/articles/-/394852
ttps://www.itmedia.co.jp/business/articles/2101/21/news060.html
でも車はライン争奪戦で敗北らしいよ
0139774ワット発電中さん垢版2021/01/21(木) 19:47:26.28ID:+tZmUjvA
>>138
これは、ルネサスが工場の身売りと閉鎖とリストラで
TSMC製造に数年前に舵を切った結果
生産能力に問題が生じて
政治力でIntel CPUを優先するからじゃ無いんだっけ?
0140774ワット発電中さん垢版2021/01/21(木) 19:50:30.00ID:t6B896dU
クルマなんて全部メカで動かせばいいのに。
ヘッドランプは無理として。
0147774ワット発電中さん垢版2021/01/23(土) 12:10:41.03ID:J3Qv6XP2
名古屋の飲食店がヤバい
営業時間が夜8時までになって、余計に客が密集しやがる
0148774ワット発電中さん垢版2021/01/24(日) 01:17:56.74ID:HvOfPzUd
マイコンの周辺にFPGA/CPLD便利そうとは思う物のメリットのある用途は以外と限られるのだろうか
DigiKey最安値はLatticeのiCE40LPが166円。マルチボルテージな電源が必要なことを考えると
ロジックICで10個くらいはまとめないと割に合わない?
最近のマイコンは高機能だからロジックICを多数外付けするケースはあまりないし
基本的にADCはなくミックスドシグナルな機能は構成できないし、電流欲しければ結局ドライバを
外付けするようだし
プログラマブルなエクステンダとするとピン数が沢山欲しいけどピン多いのは良いお値段する
0149774ワット発電中さん垢版2021/01/24(日) 01:46:43.01ID:g61i0OZv
ピン数とLE数のバランス、たしかに最近使いづらい麺あるかも
ピン数多くても電圧制約あったりな
0151774ワット発電中さん垢版2021/01/24(日) 11:41:57.93ID:UwI3NRSF
マイコン内蔵のFPGAはあるし自分で実装してもいいんだぜ。
簡単なプログラマブルロジックの入ったマイコンもある。
ADCはオーディオ用かビデオ用かとかで必要な性能が違うから何にでも使えるものは出来ない。少なくともリーズナブルな値段では。
ドライバーしかり。
全然実務経験がないのかな?
そもそも数百円で出来ると思ってるところが間違い。
0152774ワット発電中さん垢版2021/01/24(日) 13:35:45.48ID:n5diNH5V
低速域のマイコンの脳ミソで語られてもな

その1000-10000倍で動くのに。
0153774ワット発電中さん垢版2021/01/24(日) 14:53:53.81ID:HvOfPzUd
マイコンを使っているとたまにある
1.ペリフェラルがちょっと足りない
  単に数が足りない、内蔵ペリフェラルだと目的を実現できないとか
2.タイミングが微妙に間に合わない
  連続キャプチャ時に1サイクル間に合わない、バス調停でリアルタイム性が保証できないとか
3.ピンの数が足りない
  マイコンでもピンが増えると値段が上がる、上位のマイコンにするとI/Oドライバの駆動能力が下がったりとか
4.I/Oドライバの駆動能力が足りない
5.耐圧が足りない
  これはいずれにしろドライバやレベルシフタを外付けするようか
4、5はともかく1〜3は上位のマイコンにするか、ロジックICを外付けするか、プログラマブルデバイスを外付けするかで悩む
0154774ワット発電中さん垢版2021/01/27(水) 00:16:31.44ID:+g2s+U8O
名古屋やべぇわ
そこら中に路上喫煙してる奴がいるけど、肺がんでもコロナでもいいから早く死ね
0156774ワット発電中さん垢版2021/02/02(火) 00:42:01.24ID:XLhT4ST3
ふるさと納税でミカン食べまくったら、部屋がミカンのにおいでいっぱいになってしまった
どうしてくれるんですか
0161774ワット発電中さん垢版2021/02/04(木) 11:29:33.01ID:04xoMk1T
DDR SDRAMはIP Base Suite 無償ライセンスに含まれるけど使えるのはquarusのプロとスタンダードだけ
学生やホビイストには縁のない話
0162774ワット発電中さん垢版2021/02/04(木) 12:25:28.28ID:J2qpHORg
"Memory Interfaces with UniPHY"、この辺りの事を言ってのかな?

大分前に試してみたけど、レイテンシでかいし、速度もでない
DDR系は、確かにBit単価は安いが それだけ
レイテンシを "許容 or 隠蔽" できる用途じゃないと、使い難い
(例えば キャッシュと併用するとか、それが許されるなら… だが)

自分の用途だと、容量は重要じゃないのでDDR系にメリット無し
むしろ、小回りが効く分 SDRの方が優れてた
0164774ワット発電中さん垢版2021/02/04(木) 15:26:10.98ID:Kx63xYZk
DDRメモリはPCの主記憶装置用に開発された物だし
容量単価重視で直線番長なのはあたりまえだろ
0166774ワット発電中さん垢版2021/02/04(木) 22:34:21.93ID:jfPnDxav
今から始めるならXilinxの方がいいのかな?
ちょうど新しい開発環境と攻略本が出たようだし?
0167774ワット発電中さん垢版2021/02/05(金) 15:59:17.49ID:IibBF4+F
webpackは小さいデバイス限定になるけど、IP含めて全部無料で使えるから、ホビーユーザーはxilinxでいいと思う
もちろんDDRも無料で使える
0168774ワット発電中さん垢版2021/02/05(金) 16:02:27.20ID:IibBF4+F
小さいつってもXC7A200、XC7K160、XCZU7まで使えるから、ロジック容量使いきれる趣味ユーザーほとんどいないでしょ
0171774ワット発電中さん垢版2021/02/05(金) 23:24:35.35ID:A56CNmtJ
vivadoみたいにvitisの攻略本が出たら買いたいな。まだ出てないよね?
0175774ワット発電中さん垢版2021/02/07(日) 00:56:18.27ID:3t0ccBBU
MAX10と高速ADCでオシロは作ったけどその後がね…
趣味レベルだとFPGAでないと駄目というのがなかなか無い
0179774ワット発電中さん垢版2021/02/08(月) 03:28:48.41ID:busqNddA
コスパのいいモバイル契約ないでしょうか?
下り1Mbps、1日500MB、月額1500円以内くらいで
0181774ワット発電中さん垢版2021/02/14(日) 00:40:09.55ID:aMrcBX4l
おいお前らFPGAの力で地震なんとかしてみろよ
超高速AIで、今後の大地震の正確な位置と日時を特定するんだ
0186774ワット発電中さん垢版2021/02/14(日) 19:49:42.50ID:WfypkwYS
>>185
えぇー…
10万くらいとは言え、この時期には発注しづらい
試作機作ってる人に比べたら大分マシだけど
0187774ワット発電中さん垢版2021/02/15(月) 13:31:12.10ID:dgR+ILC8
CPLDを始めたのですが、多マクロセル?で少ピンな石ってやはり無いのでしょうか?

quartusでcompileしたところ、Total Logic elementsが200になりました。
これがマクロセル数で良いんですよね?
使いたいIOが28位です。

MAX7000とかが良さそうだったんですが、生産終了のようで。
0188774ワット発電中さん垢版2021/02/15(月) 15:15:10.24ID:EtD8ekzk
200LEなんて全然大きくないよ。
大きなFPGAだと100万とかだからね。
あと、少ピンだと0.4mmピッチBGA。

現行品だとQFPの144pinくらいしか選択肢はない。
XC9572XLとかATF1504AFあたりなら44pinが手に入る。
0191774ワット発電中さん垢版2021/02/15(月) 21:54:04.31ID:dgsd8dqu
お前ら年収2000万円嫁つき一戸建てガレージ温泉付きが手に入ったら次は何をするの?
0192774ワット発電中さん垢版2021/02/15(月) 22:12:51.67ID:dgR+ILC8
>>188
確かに、多マクロセルって言うと違っちゃいますね。
やはりこのくらいの規模でも100pin超えになりますか。
手ハンダなのでBGAはきついっす。
XC9572XLとATF1504AF、64pinが魅力です。でもマクロセル数が72と64だと入らないですよね。

>>189
小規模だと100pinから、な感じなんですかね。
64pin、250マクロセル位だとありがたいです。

>>190
そです。今の所MAX2が暫定なんですが、もう少し石小さくならないかなと。
0194774ワット発電中さん垢版2021/02/15(月) 23:45:11.14ID:MZY5onMN
>>192
TFPGA-002でいいんじゃない?
256マクロセルのCPLDがDIPピッチの変換基板に乗ってる
digikeyで1500円ぐらい
0196774ワット発電中さん垢版2021/02/16(火) 01:26:21.07ID:nao/Yy5H
年5000万円勝てるHFTシステム作るのと、今後の大地震を正確に予測するのと、どっちが難しいんや
0197774ワット発電中さん垢版2021/02/19(金) 18:25:51.35ID:Dx8C/UmB
quartusの古いのってもうDLもできないの?
cyclone無印使いたいんだが
0198774ワット発電中さん垢版2021/02/19(金) 21:02:28.36ID:m4+WVrwi
置いてあるよ、v2.2 から現在までの全てが
無印のCycloneは、確か v13.0 かそれ以前のバージョンで対応
有償のものでは、 v13.1 まで対応

有償/無償を含めて "v13.1" より後の Quartus では、無印Cyclone のサポートは外された。
0203774ワット発電中さん垢版2021/02/20(土) 01:18:08.42ID:rwCFNvcW
たしかに13.0SP1より前のはダウンロードできないね
無償版でcyclone1に対応してるのは11.0までらしいから詰んでるかもしれない
0204774ワット発電中さん垢版2021/02/20(土) 08:41:59.75ID:TwAMf39e
xilinxもSpartan3使おうとするとISEでWindows7でないと動かないし
もう古いFPGAは捨てるしかないな。
(ダウンロードできるだけマシか。)
GUIなんていらないんだからLinuxかDOSでいいのに。
0206774ワット発電中さん垢版2021/02/20(土) 09:16:03.05ID:x5eciN12
QuartusSetupWeb-13.0.1.232.exe / QuartusSetup-13.1.0.162.exe / QuartusSetupWeb-13.0.1.232.run
辺りは落とせるんだから、それ使えば良いだけやん
Cyclone(I)対応の 最終版なんだから、目的は達するだろ?

旧版収集が目的というなら別だが、そっちの話なら好事家にでも聞いてくれ
0210774ワット発電中さん垢版2021/02/20(土) 18:32:10.47ID:ZAzGetZV
アーカイブ
https://www.intel.com/content/www/us/en/programmable/downloads/software/archives/arc-index.html
これから11.0を選ぶと、
---
製品製造中止のお知らせ
こちらのソフトウェアのバージョンの使用を中止しています。詳細は、 製品関連のカスタマーへの通知をご覧ください。
---
と表示される
どこかの野良な蓄積所を探すしかないかも
あるいは代理店に問い合わせたらくれるかもしれないが期待薄
0211774ワット発電中さん垢版2021/02/20(土) 18:50:03.95ID:rwCFNvcW
そもそもなんでcyclone1なんて古いものを使いたいんだ?
メルカリとかで安いボードに飛びついたってオチか?
0212774ワット発電中さん垢版2021/02/20(土) 21:08:02.45ID:ZAzGetZV
保守
0215774ワット発電中さん垢版2021/02/22(月) 19:32:09.47ID:zC30VD6x
古い雑誌から入手できると考える発想に感心した
お前らすげぇわ
0219774ワット発電中さん垢版2021/02/22(月) 23:21:57.34ID:JUVI9ImA
おい緊急事態宣言を短縮しろとかふざけるなよ
そんなことしたらリバウンドするだけだぞ、特に愛知

マクニカさんもそう思いますよね?
0221774ワット発電中さん垢版2021/02/23(火) 08:14:07.07ID:/DCy2bIY
つうか、マクニカ通さないでIntelとのパス作りたいんだけど何かねえ?
0224774ワット発電中さん垢版2021/02/23(火) 14:55:20.28ID:u8HFUqiR
増収増益でAMDの17倍の利益があるインテルをどこが買収するって?
0225774ワット発電中さん垢版2021/02/23(火) 15:31:19.19ID:wWxzgJu8
TIとアナデバのフォーラムでちょくちょく質問してたら
そのうち直接メールが飛んできてサポートしますって言われたことがある

intelもこの戦法でいけんじゃね
0228774ワット発電中さん垢版2021/02/23(火) 19:15:23.15ID:QIW/ZD9H
>>227
ちゃんとメーカーから来たぞ
英語のメールだったからはじめはスパムかと思ったけど

ルネサスに質問した時はやっぱりマクニカから連絡が来たけどねw
0229774ワット発電中さん垢版2021/02/23(火) 21:43:54.91ID:S1r51TyN
ちょっぴり特殊なEthernetフレームの送受信テストがやりたいと思っていて、
手始めに、手持ちのFPGAボードで、受信したEthernetフレームを無差別にSDカードに保存させたいのですが、
最近ちょっと味覚がおかしくて、体調もちょっとだるくて、あんまり動きたくありません

世界一親切なマクニカ様に聞けば、無償で作ってくれるのでしょうか
0231774ワット発電中さん垢版2021/02/23(火) 22:51:38.73ID:+hDTKVLA
そりゃやべぇよマクニカさん、学生の夏休みの自由研究レベルやぞ…
0233774ワット発電中さん垢版2021/02/24(水) 10:41:16.53ID:7gcbxQYN
ちょっぴり特殊なEthernetフレームの送受信テスト

これって所謂ばつくどあぁの一技法か!?
0234774ワット発電中さん垢版2021/02/24(水) 10:51:38.81ID:XsEVKJES
特殊なEthernetフレームはEthernetフレームじゃないから
汎用品は使えないのかもな。
でも受信したEthernetフレームには特殊なフレームは含まれないかもな。
0236774ワット発電中さん垢版2021/02/24(水) 12:45:17.92ID:5ogu3JQ5
目的レイヤが分からんとな
アナログ波形記録から
wiresharkで十分まである
0238774ワット発電中さん垢版2021/02/28(日) 02:45:45.53ID:IpQ7edIk
>>237
代理店なんだから、きちんとIntel(Altera)に聞いて回答もらえるでしょ。

QualtusのIPのシミュレーションがまともに動かないバグとかいつ治るのか知らんけどw
0242774ワット発電中さん垢版2021/03/03(水) 22:50:55.82ID:yjhZmsOV
そういえば、マクニカのFPGA担当営業に頼んだ内容相変わらず回答してこないな。売る気ないだろあいつら
0243774ワット発電中さん垢版2021/03/04(木) 03:55:10.37ID:Din6i4Fl
>>221
Intelのサイト、よく見るとパートナーになる的なやつならあるけど
サイトの下の方、よく見てみ
0246774ワット発電中さん垢版2021/03/06(土) 00:39:22.96ID:AGgjo7WO
>>229
LinuxをFPGAボードで動かして

cat /dev/eth0 > /mnt/SDcard/eth0dump.txt

すればいいだけじゃないの?

それを営業がデモしに来ればいいだけじゃん
0247774ワット発電中さん垢版2021/03/06(土) 05:49:39.98ID:2Ok+J3z3
既に動いているLinuxボード持って来いって言われているだけって事すら気づかないのは、本当にヤバイ
0248774ワット発電中さん垢版2021/03/06(土) 09:14:27.20ID:B+8RXYCg
ちょっぴり特殊なEthernetフレームというのは、64バイト未満でパディングを付けないフレームのことで、確かに厳密にはEthernetとは言えなかったかも

汎用品でできるのか怪しかったので、Verilog書いてMIIのレベルで直接制御したところ、普通に送受信できることは確認した
パソコンのWin10のWiresharkでは捕捉できなかったけど、FPGAやマイコンのLinuxでは捕捉できるのかしらね

>>233
バックドアじゃないよ
こういう時はファジングだと言っておけば正当な理由になるらしい
0251774ワット発電中さん垢版2021/03/06(土) 15:26:31.21ID:/gwcDPj8
RX65Nのマニュアルを見たら受信エラーやCRCエラーでも受信したデータはFIFOへ
転送すると書いてあった、後ろが不正なくらいだったら受信できるかもしれない
ペリフェラルの制御コードは自分で書く必要があるだろうけど
0257774ワット発電中さん垢版2021/03/11(木) 20:00:45.22ID:QBtuF4Tr
>>232にかみつかなくて>>251にかみつく不思議
マイコンの場合搭載IPに依存するだろうから不完全なフレームが
どのように扱われるかをマニュアルで確認するしかないな
0259774ワット発電中さん垢版2021/03/11(木) 22:24:33.81ID:4NVaGvVA
マニュアルやデータシートに記載がないこともあるし、問い合わせても回答がないこともあるので、
個人的な実験であり動作保証が必要ないならば、さっさと動かしてみる方が早いことがしばしば
0261774ワット発電中さん垢版2021/03/15(月) 09:38:00.74ID:VTONhdg0
FPGAをやってみたい初心者です。
トラ技の2021/2、2021/3にFPGA特集記事があるようですが、
この記事は初心者の入門用としては良さそうですか?
0263774ワット発電中さん垢版2021/03/15(月) 14:12:41.27ID:lteIBKps
がー。モノ売ってねー
取り合いだよ
FPGA品薄
半導体サプライチェーンの寸断影響?
LT42w
0265774ワット発電中さん垢版2021/03/16(火) 05:31:02.92ID:nipRyiSi
>>263
具体的に型番とかメーカを書いてくれないか?
漠然と品薄とか書かれてもなぁ・・・
0268774ワット発電中さん垢版2021/03/16(火) 19:41:41.73ID:siAK57kB
うちは動作確認済みのMAX2とか開発環境もう一度構築しないといけない…。
出来るのかどうか不安だけど。
0269774ワット発電中さん垢版2021/03/16(火) 20:16:23.07ID:3ZU06ZR3
digikey調べだと、iは8-20wぐらいの納期予定で品切れがでてる
xは12-45wぐらいで大分長いのが多い
s6と7シリーズ全般、TSMC依存度高そうだから、在庫無くなった後は納期1年かかるね
0274774ワット発電中さん垢版2021/03/24(水) 22:11:32.09ID:O+mCrrrF
おいコラお前ら、人前で口を開けるならマスクをちゃんとつけろ
マスクから鼻を出すのもやめろ
0275774ワット発電中さん垢版2021/03/25(木) 12:52:07.89ID:5yGY1SxE
artixのultrascale+来たね
最近はサーバー向けのやつしかでてこなかったから期待
0278774ワット発電中さん垢版2021/03/27(土) 20:58:59.77ID:PIKUH0fd
やっぱりリバウンド気味だねコロナ
オラ早3回目のく緊急事態宣言しろよ
0279774ワット発電中さん垢版2021/03/28(日) 08:43:30.92ID:p2Fu3LeG
日本アビオニクス さんは、NIOSの出来る技術者いなかったよね

防衛関係何だから都度派遣で募集して、
売上の為にハケン切ってないで

自社で雇用確保したほうがいいよ

https://www.staffservice.co.jp/job/detail/IT_432469

【派遣先】
ソフトウェア会社でのお仕事です。
【担当製品】
機動戦闘車


派遣募集でこんなこと書かれるのはどうなの
0281774ワット発電中さん垢版2021/03/28(日) 22:18:29.95ID:92100FkM
でも内情もかつて(GIS用と思われる)画像処理の専門家を募集していたことがあるしどっちもどっち感あるような
0282774ワット発電中さん垢版2021/03/28(日) 23:13:26.81ID:oH4XgQ3a
大阪はもう封鎖してくれねーかな
他の都道府県とは人の出入禁止
0288774ワット発電中さん垢版2021/03/31(水) 23:42:01.80ID:7g/e+8kI
普段はマスク着けてるくせに、人と話してる時や外で携帯電話してる時に限ってマスク外す奴って何なの?死ね名古屋
0289774ワット発電中さん垢版2021/04/01(木) 00:01:17.89ID:ha/4xgup
テレビで、コロナ禍でも人様が一番大事だとかやってたんだけど、マスク外した状態でそんなこと言われても説得力ないよな
目の前にいる人を殺したいのかと
0294774ワット発電中さん垢版2021/04/02(金) 16:46:59.65ID:g4zKE0xp
>>293
正しいか知らんが俺はQSFに書いてる
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 25 OHM WITHOUT CALIBRATION" -to SRAM_D[0]
0296774ワット発電中さん垢版2021/04/04(日) 05:56:29.29ID:jEO+pFF7
どうかと思うなどと言われても、人の不幸は蜜の味という言葉があるくらいには普通の心理やぞ
0298774ワット発電中さん垢版2021/04/04(日) 09:24:59.53ID:o1lujUVV
pin plannerかassignment editorから設定するのが正攻法じゃないかな
まあ自分も大半はqsfに直接書いちゃうけど
0299774ワット発電中さん垢版2021/04/04(日) 13:27:42.36ID:UtqkmvTt
修正量が少ない or Key-Word が不明の場合、GUI からの作業も有だが
そうじゃないと、直接書いてるな <= 大概がコレ

要するに、GUIでの作業効率が宜しくない って事になるな
皆、似たようなモノじゃない ?
0300774ワット発電中さん垢版2021/04/07(水) 15:18:14.64ID:bMurw941
>>299
探し方が下手んだけどkey-Wordを見つけるのにいつも困ってる。
インテルのマニュアルよりネットから見つかるほうが多い。
0301774ワット発電中さん垢版2021/04/14(水) 15:52:32.39ID:7dRwfr43
VHDKのテストベンチで時間を表示させるとき単位を変える方法は?
report "RESET = 1:" & time'image(now); だとpsで表示されて判りずらい
0303774ワット発電中さん垢版2021/04/14(水) 16:55:07.40ID:WESeqlXv
Actel が Microsemi になったのは知ってたけど
いつの間にか Microchip に買われてたんだな。
ProASIC3 も NRND っぽいし。
0304774ワット発電中さん垢版2021/04/14(水) 20:56:39.93ID:8borHQ6+
うちの市も早く蔓延防止措置やってくれないかな
飯屋でおしゃべりしながら食う奴が多すぎる
0305774ワット発電中さん垢版2021/04/15(木) 02:23:20.61ID:9/BGR69s
愛知は予想通りリバウンドだな
もう手遅れだから早く封鎖しろよ
0306774ワット発電中さん垢版2021/04/15(木) 08:15:50.49ID:395P5SbN
ノーマスクおじさんや、咳しまくりおじさんを放置しておいても死体の山にならない時点で大したことないやろ
0307774ワット発電中さん垢版2021/04/15(木) 12:23:58.47ID:luZ6GXtg
>>302 今はキャストしてやってる。せっかく単位も表示されるんで設定か記述で対応できないかと思って聴いてみた。
0312774ワット発電中さん垢版2021/04/16(金) 20:58:39.35ID:dmS6miZG
外人で、歩道を自転車で走りながら、マスク着けずにおしゃべりしてる奴がいたんだが、国に帰るか、またはすぐ死んでもらいたい
これだから名古屋は…
0314774ワット発電中さん垢版2021/04/16(金) 23:04:05.93ID:c9fgNtrW
ところで

FPGAで仕事をすると、年収2千万円嫁つき一戸建てがもらえる会社はまだですか?
0316774ワット発電中さん垢版2021/04/17(土) 00:01:47.57ID:ltXc4qK8
名古屋に住んでたけど、外人はクズが多かったな
連日、深夜にバカ騒ぎが聞こえてきたわ
0317774ワット発電中さん垢版2021/04/17(土) 00:14:48.58ID:cHbjGmp7
2月末に緊急事態宣言の早期解除をしたばかりなのにね
知事が思っていた以上に、頭の悪い市民が多かったと
0318774ワット発電中さん垢版2021/04/17(土) 01:29:34.57ID:uVO08kw7
>>315
一人で30人分のお仕事をするから、年収2千万円嫁つき一戸建てを支給してもとってもお得なっしー
0319774ワット発電中さん垢版2021/04/17(土) 14:02:41.21ID:kaq54KJ/
最近、毎日の大阪と愛知の感染者数を観るのが楽しいわ
あいつら自己中ばかりだから、まん延防止も緊急事態宣言も役に立たんよ
0323774ワット発電中さん垢版2021/04/18(日) 02:00:32.57ID:a0/ihGqv
HDLは必須だが、HDLしか教えてない大学教員は死ね
戦力になる新卒を社会に出せないなら死ね
0324774ワット発電中さん垢版2021/04/18(日) 02:08:01.57ID:aRFgSJWJ
>>323
HDL書かないで、左うちわで高級取りは要らないから、全員リストラして、底辺の派遣からみんなやり直せと思う

いやまじで
0325774ワット発電中さん垢版2021/04/18(日) 10:18:49.34ID:LMfdkP+o
まあ、そうね
コーディングのイメージがつかめてない奴が下請けに要求を出すなと言いたい
0326774ワット発電中さん垢版2021/04/18(日) 11:13:04.40ID:eoCIkySr
薄給なのはHDL書く書かないは別にして自己責任だろ。
何かしら欠陥があるとしか思えない。
0327774ワット発電中さん垢版2021/04/18(日) 11:37:22.64ID:wrTEadeH
HLS:高位合成 => 低スキル・エンジニア向け

効率悪すぎて、納期最優先(コスト度外視、とにかく動けばよい) のヤツにしか使えない
0328774ワット発電中さん垢版2021/04/18(日) 12:15:16.30ID:qsmGqjbm
いやもうHLSは十分に使えるよ
信号処理関係で重宝している
matlab/simulink要らなくなったわ
0329774ワット発電中さん垢版2021/04/18(日) 13:42:37.51ID:aRFgSJWJ
>>326
入社したら、気がついたら日立に二重派遣されてHDLコーディングされるのが自己責任とか面白いっす。派遣先で派遣になったのは自己責任とか言われるけど、アタマおかしくて面白いっす
0330774ワット発電中さん垢版2021/04/18(日) 13:45:17.23ID:aRFgSJWJ
>>328

MATLAB/Simlinkが吐き出すHDLコードはキレイだけどさ
あの、テンプレにないコードつくろうとすると途端にHDL以下のコーディングを要求されるヤン

おまえ本当に自分で使ったことあんの?
0332774ワット発電中さん垢版2021/04/18(日) 14:26:14.97ID:aRFgSJWJ
>>328
こんな感じで、ろくに使わず
知らない奴を騙すようなのが出てきて

さらに、それを真に受けた奴が自分でやらず

ウソのまま進んで、末端が火を噴くだけだから

最初に戻るけど

「HDL書かないで、FPGA語る奴は氏ね」
0335774ワット発電中さん垢版2021/04/18(日) 19:12:45.83ID:/8rFmUPm
>>332
急に発狂してて草
お前の底辺職場環境なんて知るかよw

それにHDLに関してはもう何年も嫌になるほど書いてきたさ

まあHLSは底辺には難しいのかもしれないな^^
一生Lチカのコードでも書いてろよw
0337774ワット発電中さん垢版2021/04/18(日) 19:28:39.35ID:aRFgSJWJ
>>335

>>328

MATLAB/Simlinkが吐き出すHDLコードはキレイだけどさ
あの、テンプレにないコードつくろうとすると途端にHDL以下のコーディングを要求されるヤン

おまえ本当に自分で使ったことあんの?
0340774ワット発電中さん垢版2021/04/18(日) 20:00:58.68ID:aRFgSJWJ
HDL書かねえ口先ばっかりの高給取り。
HLSで出来る会社紹介して来いよ(・ω・)
納品できるなら、カネは出してやっからw
0343774ワット発電中さん垢版2021/04/18(日) 20:44:22.24ID:/8rFmUPm
>>336
HLSじゃなくてHDLで〜というのが本来言いたかったこと

>>337
普通に使っているが。ドキュメントとサンプル読めば普通にできるようになるだろ。

あとしきりにHDL書けると自慢げに言っているがHDL書けるなんて普通のことで
特に偉そうに言う必要ないから。違う現場行ったら恥かくよ^^
0344774ワット発電中さん垢版2021/04/18(日) 22:20:41.33ID:p4YCACyH
>>341
そういう話をしてるんじゃないんだよ
文脈を読めるようになっとけ

>>333
二重派遣がFPGAの話をしても何も問題ないと思う

>>336
>>335はLチカが書きたいのではない
0346774ワット発電中さん垢版2021/04/18(日) 22:29:56.87ID:p4YCACyH
>>342
知らねーよ
喧嘩を売る相手を間違えていると思うが、俺はHLSを推奨したいのではない
0350774ワット発電中さん垢版2021/04/19(月) 10:26:56.95ID:64i6ioT1
C言語からハードウェアとか20年くらい言ってるけど
イマイチ上手く行ってないよな。
0351774ワット発電中さん垢版2021/04/19(月) 11:12:13.22ID:7X23RKmP
ソフトウェアは、基本 逐次実行の記述だからな
根本的に、そこに無理がある

合成してみると、相も変わらず シケたステート・マシン出して終わり
昔から変わってない
依存性が解決出来ないなら、他にやりようが無いからな

設計者が最初から回路の並列性を意識してないなら、何をやろうが結果は知れたモノ
状況によって使い分けてると言うなら 何も言うことは無いが・・・

だから、盲目的に高水準言語がうんぬん・・・とか言うヤツは
=> 低水準エンジニア とか馬鹿にされるんだよ

コンパイラが吐き出したコードも読めないクセして、コンパイラの性能がウンヌン・・・
それと同じだな、 片腹痛いわ

どんなアホでも、良いツールを使えば傑作が出来る とでも思ってる愚か者
0352774ワット発電中さん垢版2021/04/19(月) 12:22:52.22ID:YGknxUSL
FPGA ASICの設計が高水準言語(hls)で出来ると言ってきた奴には、コンパイラの性能だからその言語からブロック図とFFの挿入 同期化が出来れば、ワイ評価してやってもいいよというと黙る。
たいていそれすら出来ない。

HDL言語は、1クロック毎にナニヲするか記述するもの。
と、真実を伝えても、それすら理解出来ない。

氷菓だけに、えるたそ 用意してくれるなら、レポート書いてやってもいい。
0353774ワット発電中さん垢版2021/04/19(月) 20:56:22.31ID:2TW8kXzJ
普通にSDSoCとか使わない?
周りの取引先も使ってるみたいだけど

生産性を上げるための手段としては普通にありでしょ。それを頭ごなしに否定するのは時代遅れとしか思えない。
0356774ワット発電中さん垢版2021/04/19(月) 21:51:05.65ID:EVBvyx9K
HLSを使いこなすやつもいれば使わないやつもいる、ただそれだけのこと
何をムキになっているのか分からんが
0357774ワット発電中さん垢版2021/04/19(月) 23:28:23.11ID:xPjHuR6o
HLSを使いこなしてる人は何人か知ってるけど、
使いこなせてない理屈屋が、科研費でHLSなどと書いて、
しかも通ってしまうのを見て、イラっとしたことあるわ
0359774ワット発電中さん垢版2021/04/20(火) 15:14:39.23ID:RomOmTda
自分で会社起こせば1億ぐらい楽勝
下請けなんて代わりがいくらでもいる仕事してたら無理
0361774ワット発電中さん垢版2021/04/20(火) 19:59:08.23ID:z1o+6vbt
intelのnios環境インストールがチョー面倒だった
ありゃないわ
ubuntu入れろとか
eclipseプラグイン上書きしろって
もうぐちゃぐちゃ
0362774ワット発電中さん垢版2021/04/20(火) 20:42:08.78ID:zA7NMkVG
開発環境をぐちゃぐちゃにする覚悟のない奴はFPGAを語るなということだ
0363774ワット発電中さん垢版2021/04/21(水) 00:19:14.71ID:eKnZK2uf
>>361
それはv19以降からでしょ
v18.1以下なら普通にquartusをインストールするだけで特別な操作は必要ない

特別な理由が無ければv19以降は使っちゃいけない
0364774ワット発電中さん垢版2021/04/21(水) 02:33:15.17ID:NvojQd9u
いや、新しいバージョンには早く慣れておく方がいいぞ
古いバージョンを使い続けるのは俺だけでいい
お前らはモルモットになってくれ
0366774ワット発電中さん垢版2021/04/21(水) 07:06:43.39ID:GSd1yzsg
>363
Windows 10
↑使う気 全く無いんで、18.1 で止めてたが正解だったようだな

Quartus も、知らぬ間に劣化してたか…
0370774ワット発電中さん垢版2021/04/21(水) 17:00:45.37ID:7tvkSk6J
Intelと統合してAIに走ったから、大規模デバイスにしか興味がなくなって、気に入らない奴らををFIREしてて、モウダメポだと見た。
ここ5年ぐらいアルテラ触ってないけど

誰か真実を補完してw
0372774ワット発電中さん垢版2021/04/21(水) 20:39:46.66ID:7tvkSk6J
ただの10人未満のFPGA屋でもない、深田萌絵の言ってることが滅茶苦茶で本当に酷い
0373774ワット発電中さん垢版2021/04/21(水) 22:45:00.30ID:3aswqUGv
深田萌絵とやらは、HDLを書けるんだろうな?
FPGAを語るなら当然の要件やぞ
0375774ワット発電中さん垢版2021/04/21(水) 23:15:47.51ID:3aswqUGv
HLSでもいいけど、どこにどういうpragmaを書くと何が改善して何が犠牲になるかを意識できないうちはFPGAを語る資格は(ry
0378774ワット発電中さん垢版2021/04/22(木) 02:30:41.74ID:F4c5rzHS
>>377
それ。わかんない。

https://www.acri.c.titech.ac.jp/wordpress/archives/8675

https://www.acri.c.titech.ac.jp/wordpress/archives/8947

https://www.acri.c.titech.ac.jp/wordpress/archives/9282

https://www.acri.c.titech.ac.jp/wordpress/archives/9625

君らが言っている HLSというのは SystemC と違うの?
https://japan.xilinx.com/support/answers/73613.html
違うようだね

https://japan.xilinx.com/products/design-tools/vivado/prod-advantage/rtl-synthesize.html

https://japan.xilinx.com/html_docs/xilinx2019_1/sdaccel_doc/hls-pragmas-okr1504034364623.html#fde1504034360078

ええとつまり ANSI C から #pragma で拡張してHDLに落ちるコンパイラをつくったと言うことだ
この流れは、Intel HLS も同じだよね?

なんで、SystemCサポートしなくなったの?


あのさ疑問何だけど、
SystemVerilog の Real型 これ生成出来れば、
中途半端なC言語による #pragma HLS PIPELINE 拡張よりも、カッチリとした回路組めるよね。

https://dora.bk.tsukuba.ac.jp/~takeuchi/?%E9%9B%BB%E6%B0%97%E5%9B%9E%E8%B7%AF%2FHDL%2FVivado%E3%81%AESystemVerilog%E5%AF%BE%E5%BF%9C%E7%8A%B6%E6%B3%81%28%E5%90%88%E6%88%90%E7%B7%A8%29


で、再度聞きたいんですけど、HLS 使えるんですか?

なんで、Chisel じゃないんですか?

高位合成出来るのは、

VHDL/Verilog → SystemVerilog/SystemC → Chisel

と思っているんですけど

ここに、"中途半端な" C の #pragma 拡張が入ってきて
それをHLSと呼んで使えると言っている人は、どうして使えるんですか?

わかるにはナニヲしたらいいんだろう
0379774ワット発電中さん垢版2021/04/22(木) 02:45:35.84ID:F4c5rzHS
その上で、この質問に戻りますけど。
これ、なんでですか?
固定小数点設計をせず、C言語で浮動小数点記述したものが、そのまま実装出来るからですか?

>>328
>いやもうHLSは十分に使えるよ
>信号処理関係で重宝している
>matlab/simulink要らなくなったわ
0380774ワット発電中さん垢版2021/04/22(木) 03:05:25.20ID:F4c5rzHS
で、意味がわからないんですけど、

MATLABでサクッと記述できる行列演算
これHLSで記述するのものすごーーーーーーーくかったるくないですか?

この時点で言語化せず瞬間的にウソだなとわかるわけです。


で、最初に戻りますけど

「HDL書かないで、FPGA語る奴は氏ね」

最近時々聞くんですけど、HDL書いたことのないソフトウェア屋が、HLS でC言語で FPGAつくっているんですか?
HDL書かずにC言語で書いても別に止めませんけど、
そんなんで、設計書書けるんですか?
設計書書かずにFPGAの開発が出来るとか、思っているorそれすら考えたこともないやつは、HDLを見て設計書を起こしてみては如何でしょう。
そうじゃないと、仕様書すら、ブロック図すらかけず。
何を、HDLやらC言語で書いて良いかわからないですよね。

みなさん、本当に大丈夫ですか?
0381774ワット発電中さん垢版2021/04/22(木) 07:19:47.30ID:YhDa+fBR
matlabでRTLコーディングするのが夢
HDLにもlightweight languageがあるべき
0384774ワット発電中さん垢版2021/04/22(木) 20:01:09.27ID:cAwngF8V
>>380
科学技術系の知見を得ることを目的に、FPGAを道具として使っている研究者や学生さんにとっては、コーディングをするたびにしっかりした設計書なんて書いてられないのですよ

浮かんだアイデアを、使い慣れているCでササっと書いて一度動かしてみる
期待通りの実験結果が得られそうか確認する
そういう用途で、HLSが使えるということで納得できませんか?

もちろん、HLSよりもHDLやMATLABなどが便利な分野では、それらの使い方を覚えるべきと思います
0386774ワット発電中さん垢版2021/04/22(木) 20:28:36.10ID:tNa0rFax
HLSはPythonでもいいんですけどね。

でも、HLSはモジュール単体のみで、そこそこ使えるものだとおもうのですが

全体を設計したときに使いものにならなくなると思うんですよね。

この点どのように実装時に対処していらっしゃいますでしょうか?
0388774ワット発電中さん垢版2021/04/22(木) 21:43:31.37ID:cAwngF8V
>>385>>387
どうでしょうね…
Vivado HLSは、マイナーな機能ばかり追加するより、他のプログラミング言語にも対応しないと、いつまでも普及しないと言うことですね

>>386
以下、もし質問の意図を読み違えていたらすみません

初めてHLSを触った時は、>>377のチュートリアルの「第9章 IPインテグレーターでのHLS IPの使用」のようなやり方がベースでした
トップモジュールはVivadoが自動生成しますし、HLSの自作モジュールにパソコンから実行指示を出すのもSDKがやってくれます

ただし第9章は手作業が多すぎでしたので、今では、コマンド一発で実行するスクリプトやトップモジュール(HDL)も自作しました
0389774ワット発電中さん垢版2021/04/22(木) 22:11:30.99ID:+yVHaQLq
>>379
>>380
Vivado HLSならある程度行列計算用のライブラリはあるし、そもそも半分以上は既に書かれているCコードを論理合成したんだが?
このケースにおいてはわざわざmatlabでやるメリットは全くない。

matlabが不要になったとは書いたがHLSがmatlabの上位互換とは一言も言ってない。
HLSでも十分に戦えるという意味だが。HLSでキツイならmatlabを使うことも考えるが高額なライセンス料、
社内でのライセンスの奪い合い、現状のHLSの実力等を考えるとわざわざmatlabに固執する理由がない。

あとはソフト屋がHLSをやっているなんて話は俺は知らないし現場でも見たことがない。
そもそも俺はハード屋で基板の設計もするしHDLも書くが
Vivado HLSに関してはHDLを今まで書いてきたハード屋のためのツールだと思っているが。

設計書に関してはここでお前のmatlabエアプがばれたな。
俺の知る限りでは高位合成でわざわざ詳細なブロック図やタイミングチャートは書かない。
HLSでもモデルベースでもそれ自体が設計書であり検証仕様書なんだが?
高位合成では目的の性能がでるまで再合成するしそのたびにFFの数もレイテンシも変わり、
事前に作成したブロック図やタイミングチャートとは整合性が取れないし、そもそも設計プロセスを簡略化するための高位合成ってことを理解できてる?
ハンドコーディングの時はもちろん設計書を書くがそれはRTLレベルだからこそブロック図やタイミングチャートが必要になる。

分かったか底辺派遣?
0390774ワット発電中さん垢版2021/04/22(木) 22:43:13.97ID:+gNtKdEY
>>389
ブロック図はHLSでもRTLでも大して変わらないだろ。
まさか、FF単位でブロック図書いてるの?
RTLで書いてもリタイミングでFF位置の調整もツールがやるだろ?
どんだけ古いツール使ってんだよ。
0394774ワット発電中さん垢版2021/04/22(木) 23:21:21.13ID:tNa0rFax
>>389
君がMATLAB触ったことないことも

設計書も書けない口先ばかりの人間と言うことはわかりました。


>>390
RTL書けないことはわかりましたから。RTLが何の略か調べて言っていることが矛盾していることに気づけたらえらいとおもうよ。
0395774ワット発電中さん垢版2021/04/22(木) 23:34:44.47ID:F4c5rzHS
>>394
スゴッイッスw感動しましたw



HLSのおかげで。ソフトウェア屋がFPGA設計に割り当てられて、なかなかにヤバいって。

設計を知らない奴がプロジェクトマネージメントしたり、管理者をやる弊害だよな



「HDL書かないで、FPGA語る奴は氏ね」
これに尽きる
0397774ワット発電中さん垢版2021/04/22(木) 23:45:17.92ID:F4c5rzHS
>>388
概ね言っていることはあっているし、同意見なんですけど。

https://japan.xilinx.com/support/documentation/sw_manuals_j/xilinx2019_2/ug871-vivado-high-level-synthesis-tutorial.pdf#page160

の9章 IPCatalog のFFTを入れて
その前後にHLSのブロックを入れて
コードが見えないからわからないんだけど
浮動小数点 固定小数点の変換を入れただけに見えて
何の意味があるんだろうなぁ。位に思う。
記述量は減っているけど、この例は使う意味無いよなぁと。
0398774ワット発電中さん垢版2021/04/22(木) 23:49:18.24ID:+yVHaQLq
>>394
お前が教えてくれっていうから懇切丁寧に教えてやったのにな。
まあ完全論破されて意味不明な返答しかできないわけだ。
おまけにちゃっかり自演もしてるのは笑えるわw
あと多分一番HDLも設計書も書けないのは君だと思うよ?
0401774ワット発電中さん垢版2021/04/22(木) 23:56:22.44ID:+gNtKdEY
>>399
ルネだったらdcくらい使えるでしょ、中小企業じゃないんだから。
CyberWorkbench かな?イマイチ評判良くないけど。
0402774ワット発電中さん垢版2021/04/23(金) 00:09:47.89ID:5n9mT8Od
MATLABでHDL吐き出せる関数の少なさ
SimlinkHDL吐き出せるブロックの少なさ

ここわかって無くて、書いてて

アホだなぁと

信号処理で、低レベルな記述してて、高位合成言うてるんだなと

面白いよ。
0404774ワット発電中さん垢版2021/04/23(金) 05:12:02.77ID:GvIu2PBK
毎年この時期になるとアク目指す学生に疑問を思うんだけど、アクチュアリーの何に憧れてんだろうな。
高収入で、自分が専攻してた数学とかをバリバリ使ってモデリングとかして学問的なことができるって思われてるんだろうかね。
もちろん数理系の部署の中の一部のアクはそういう仕事もやってなくはないけど、大半のアクがやってることなんて
誰でもできる数字の検証作業だったり、Excelでデータを集計するレベルの雑務みたいなことしかやってないのにな。確率・統計の「か」の字も業務中に出てこないのがほとんどだぞ。
高収入って言っても、他の総合職と同じ給与体系だし、特別「アクチュアリーだから」って理由で給与が高くつくことは基本ないんだけどな。
0407774ワット発電中さん垢版2021/04/23(金) 13:00:24.20ID:Nma7Zfl1
ハード屋で基板の設計して、HDL書いて、Vivado HLS で上から与えられたコードを通すだけの簡単なお仕事は、年収いくらなんだろ。
0408774ワット発電中さん垢版2021/04/23(金) 13:20:41.86ID:Hex324Q9
基板の設計してるなら必ずしも簡単なお仕事ではないだろうから、悪くない年収だと思うが
0409774ワット発電中さん垢版2021/04/23(金) 13:48:22.18ID:Nma7Zfl1
回路設計と基板設計の区別つかなくて、口から息を吐くようにウソをついてて笑えるんだよ。
どういう年収の設定にするか楽しみ。
ウソじゃないなら、それなりに稼げる会社紹介してやっから。
0411774ワット発電中さん垢版2021/04/23(金) 14:26:27.55ID:Nma7Zfl1
>>410
確かに、「ハード屋で基板の設計して、HDL書いて、Vivado HLS でC言語通して」たら、統合失調症にもなるだろうなぁ。
年収いくらなんだろう。
0412774ワット発電中さん垢版2021/04/23(金) 14:48:39.18ID:ekbcZVXW
389 は基板設計をする前に回路設計もしているのだろ。回路設計ができて基板設計もできて
FPGAも扱えC言語も使えるとなるとエンジニアの鑑である。下請けに最適である。
0413774ワット発電中さん垢版2021/04/23(金) 16:28:40.71ID:Nma7Zfl1
>>412
底辺派遣と馬鹿にしているから、下請けじゃないんじゃないの?


設定がぶれてるw。
0414774ワット発電中さん垢版2021/04/23(金) 16:57:22.45ID:6J8Kfs2G
派遣さんでも外注でも優秀な人は高いよな。
月150とかオレより高い。
0416774ワット発電中さん垢版2021/04/23(金) 20:28:00.63ID:Nma7Zfl1
一人でID変えて自演して、ご苦労様

MATLAB使ったことが無いのが露呈して、悔しかったんだね。

わかるよ!
0417774ワット発電中さん垢版2021/04/23(金) 22:02:28.84ID:D2ifK+JY
不謹慎ながら、大阪の感染者数が増え続けているのがメシウマです
当然の結果だよ!!
0419774ワット発電中さん垢版2021/04/24(土) 02:58:37.79ID:VqiSRSUo
どうせ自粛してないんだろうな
そりゃ死んでも仕方ないわ
政府も面倒を見きれない
0420774ワット発電中さん垢版2021/04/24(土) 05:11:52.72ID:7MVIfdmS
先生質問です!
HLSコンパイラーでC言語で記述して、FPGAに処理させるならば。
ARMマイコン32コアぐらい乗っけて2GHzぐらいで処理した方が速かったりすることは、ありませんか!?
0422774ワット発電中さん垢版2021/04/24(土) 09:00:09.96ID:W6EfEedj
>>420
回路による。
マルチコアにしてもバスが混み合って速度出ないよ。I/Oが遅いし。
比べるならGPUのほうがいい。
0423774ワット発電中さん垢版2021/04/24(土) 09:38:35.56ID:W6EfEedj
>>421
ありがとう。

ちゃんとしたシミュレータは使ってないの?
オマケのシミュレータで十分ならそれでいいけど。
優秀な上司なら買ってくれると思うよ。
0424774ワット発電中さん垢版2021/04/26(月) 11:56:28.25ID:1HUD3ZyY
HLS調べたけど、FPGAマガジン No.17に 512点のFFTに26227サイクルかかる と堂々と書かれてて、ヤベえな
0427774ワット発電中さん垢版2021/05/03(月) 01:59:20.84ID:4FGwXCXn
感染者はもう自己責任だろ
延命せずさっさと死ねばいいのに、見苦しい
0430774ワット発電中さん垢版2021/05/19(水) 19:25:39.94ID:cF6ix3CA
最近のニュース、馬鹿の一つ覚えみたいに、コロナと大谷翔平ばかりだな
0432774ワット発電中さん垢版2021/05/20(木) 04:24:17.52ID:lKswDnS+
>>431
それは普通にニュースであって、例えば3日連続で報道されるようなことはないだろ
0438774ワット発電中さん垢版2021/05/28(金) 10:42:57.50ID:GNz32ncf
>>437
ありがとうございます
秋月電子でSpartanと検索しても出てこなかったので無いと思い込んでました
何をやりたいかと言うと、オーディオインターフェイスを作ってみたいです
XMOSでも十分かもしれませんが、イコライザー等の処理をFPGAにやらせてみたいです
0439774ワット発電中さん垢版2021/05/28(金) 12:47:11.44ID:bh92kspT
プライベートユーズだと何気にXよりA(i)の方が使われてる気がする
0440774ワット発電中さん垢版2021/05/28(金) 12:58:02.35ID:k/NfJ9Rl
>>439
いや、Xのほうが圧倒的だよ。
FPGAの情報を検索するとみんなXだ。
A(i)を使っている人なんてほとんどいないさ。
0443774ワット発電中さん垢版2021/05/29(土) 08:20:53.04ID:q96KQ384
Info (332146): Worst-case hold slack is -0.011

↑ たまに出るんだよな、この種のエラー
グローバル & 同一・クロックを使っているにも関らずだ

この程度の値なら、ツール側で対応しろよな…
と思いながら、sdc に追記するも効果無し
言うことを効いてくれない。

前から不思議だったんだが、やはり ツールのせいかよ
対象法 ようやっと判った
0444774ワット発電中さん垢版2021/05/29(土) 15:17:27.29ID:hvBep2X+
もうちょっと簡素なツールが出ない限り、FPGAは流行らんと思う
既にFPGAで食ってる人は今後数十年は安泰だな
0445774ワット発電中さん垢版2021/05/29(土) 16:49:52.05ID:6fPO5kAD
ローエンド品が使いにくくなっているようには見えないし、
20年前に比べればずっと使いやすくなっている。
面倒だった昔に始めた人がいるんんだし、今でも普通に新しく
始める人がいると考えるのが自然だと思う。
おまけに、新しい人は新しい使い方をするために(したくて)始める人が多いはず。

今の人が安泰な業種なんてそうそうないよ。
0446774ワット発電中さん垢版2021/05/29(土) 21:21:49.56ID:Mc/+ANb6
んー、流行るといいね
今のツールだと向こう数十年は流行らんと思うけど
0447774ワット発電中さん垢版2021/06/17(木) 19:04:46.22ID:hc3ntooG
評価ボードが届いたがなかなかむずい
一度コンパイル出来た物が二度と出来なくなってしまったり、未だにLチカすら出来ない
0448774ワット発電中さん垢版2021/06/17(木) 19:55:20.97ID:7are00if
中華の安物ボードを3種類ぐらい買ってみたけど、どれも問題なく動いたよ
規模は、XC6SLX16/EP4CE15 クラスでSDRAMが乗ってるもの、価格は$20〜$30

小口が割高なのは承知だが、
DigiKey辺りでデバイス単体を買うよりも、ボードの方(SDRAM付き)が安いってのがなんとも…
0449774ワット発電中さん垢版2021/06/17(木) 20:10:45.26ID:zCfplO+2
中古なら安くても仕方ない
いや新品と中古のどっちを買ったのか知らんけど
0450774ワット発電中さん垢版2021/06/17(木) 20:15:29.05ID:hc3ntooG
買ったのはこれです
https://www.seeedstudio.com/Spartan-Edge-Accelerator-Board-p-4261.html
何にも知らずに買って、USBで繋いでそのまま書き込めないって知って他のが欲しくなりました
Vivadoで新たにプロジェクト作る際にDefault Partにこれが出てこない…
0452774ワット発電中さん垢版2021/06/17(木) 21:13:50.74ID:7are00if
回路図を見る限り、FPGAのJTAG端子がボード上そのまま出てる
それ用のJTAGケーブルとか持ってないと無理だな

ボード上のUSB_Type-C は、
CP2102のUARTを経由してESP32(マイコン?)に繋がってる、それだけ
0453774ワット発電中さん垢版2021/06/17(木) 22:56:17.49ID:hc3ntooG
出来ました
2020.3のインストーラだとそもそもWebPACKの選択肢が出てこなく、さらにSPARTAN、Artix等を使う設定?もインストールする事が出来ませんでした
2020.2インストーラで全て出来ました
書き込みは使った評価ボードのサイトのWikiを読み出来ました
Arduino IDEを使い、microSDに書き込んだ.bitファイルをFPGAに書き込みました
とりあえずLチカまで出来ました
スレ汚し失礼しました
0456774ワット発電中さん垢版2021/06/18(金) 19:52:38.69ID:GGMC4bMS
このスレの常連?はFPGAを理解していることを前提でしゃべる
結果FPGAの事がよく判っていない初心者とは話がかみ合わない
0457774ワット発電中さん垢版2021/06/18(金) 20:01:16.27ID:pWkPKo76
何度でも何度でも何度でも立ち上がり呼ぶよ


フィールドプログラミング
0458774ワット発電中さん垢版2021/06/18(金) 22:13:30.22ID:vCoyMXJ+
>>456
といっても、

自分がわからないものが分かっている人がいる。何に使うのかわからんけどわかりたい。

みたいな希薄なモチベーションだと話は通じないでしょね。
0460774ワット発電中さん垢版2021/06/19(土) 11:12:17.57ID:3+V+N1rH
>>446とか>>459は「流行る」をキーワードにしている。何を意図してるんだろう。
必要でない人に流行らせる必要のあるものでもないと思うよ。

今のFPGAはどちらかといえば、先端の分野で使われるデバイスだし、そこで使われた
用途のうち、大衆化する価値があるものが、LSIに組み込まれて、量産の情報機器、民生品に
移っていく流れだと思う。

ここでもたまに、「FPGAって何に使うの」「たとえば○○」「そんな用途普通ない」
みたいな不毛な流れがあるけど、そもそも普通(=大衆的)なデバイスじゃないしね。

電子回路に興味を持つような人のほとんどの要求はマイコンで満たせるように思う。

必要や学習に積極性のない人や、素養、能力の無い人に、今の先端のFPGAを教えるのって
すごくコストがかかるはず。メーカーも、自分の要求に満ち足りた馬を水場にひっぱってきて、
無理やり水を飲ませるようなことはしないのでは。
0466774ワット発電中さん垢版2021/06/19(土) 21:36:03.97ID:+ogUzJQz
難しさは変わらないからなあ
Cベースだからってロジックの概念不要になるわけじゃなし
0467774ワット発電中さん垢版2021/06/19(土) 22:56:40.58ID:3+V+N1rH
安泰発言の人って、
「FPGAのエンジニアは自分の立場を守ろうとしているから初心者に冷たい」
って思ってるんじゃないかって気がしてきた!

だとしたらすごいぞ。
0468774ワット発電中さん垢版2021/06/20(日) 00:20:56.24ID:FaxoEis9
>>467
そんな悪意があるとは思ってないよ

後進の教育がめんどくさいだけでしょ
その結果、人が少ない
0469774ワット発電中さん垢版2021/06/20(日) 04:04:04.26ID:umkX9Ftu
めんどくさいっつーか、下手なんだと思う
ソフトの人達にはさすがに勝てん
0470774ワット発電中さん垢版2021/06/20(日) 06:26:54.18ID:hx3hq87J
>後進の教育がめんどくさいだけでしょ
>その結果、人が少ない

人が少ないかな? マイコン人口と単純に量を比較しても意味なし。需給の問題だし。

需要に対して、参入する新人が少ないのだとしたら、たいていの場合待遇の問題だと思う。

あと、業務としての教育って最低限のことは知ってるか、さもなければ自力で学習する人を採用していることが前提。
「分からない人に分からない言葉を使う奴が悪い」「さあどうだ。俺は何も知らんぞ。俺に分かるように説明してみろ」
みたいな態度の人を相手にすることは、どんな職種でもないのでは。

少なくともこのスレでのやり取りをもって、企業内教育の一般論を語るのは酷い勘違いなのでやめて。
もし、あなたが体験した企業内での教育がそういうものなのだったとしたら、その場での問題だし。
0471774ワット発電中さん垢版2021/06/20(日) 07:52:23.21ID:eWXI0yZO
ソフト屋より待遇が悪いってことはないだろ。
少なくとも金はもらってるよな、オマイラも。
0472774ワット発電中さん垢版2021/06/20(日) 08:14:13.41ID:hx3hq87J
待遇の問題をほかの職種とくらべてもなあ。
その職種にその待遇なら就きたいと考えられるかどうかだし。
0473774ワット発電中さん垢版2021/06/20(日) 13:54:01.16ID:jW/4Fmks
「分からない人に分からない言葉を使う奴が悪い」「さあどうだ。俺は何も知らんぞ。俺に分かるように説明してみろ」
という人、このスレにいたっけ

そんな人の話は誰もしてないと思うが
0474774ワット発電中さん垢版2021/06/20(日) 14:20:26.26ID:jW/4Fmks
企業内教育の違いは分からんけど、VivadoやQuartusは重いし、慣れないうちは分かりづらいエラーもよく発生するからね
ネット検索したり先輩に聞いたりしてもすぐに解決しなくて、新規にとって障壁はそれなりに高いかな
0475774ワット発電中さん垢版2021/06/20(日) 14:31:17.50ID:hx3hq87J
>>473
>という人、このスレにいたっけ
そうか「理解していることを前提でしゃべる」なんて愚痴る人はいなかったんだよな。
0476774ワット発電中さん垢版2021/06/20(日) 14:36:45.85ID:hx3hq87J
>先輩に聞いたりしてもすぐに解決しなくて

先輩が教え渋ってるのではなくて、先輩もすぐには分からないのだったりして。

障壁が高いのは新規に限ったことじゃないかもね。でも、そういう分からないことを
自分で解決しようとするあなたの存在が喜ばれているのでは。
0478774ワット発電中さん垢版2021/06/20(日) 16:23:36.51ID:jW/4Fmks
…。
もし>>756が「分からない人に分からない言葉を使う奴が悪い」「さあどうだ。俺は何も知らんぞ。俺に分かるように説明してみろ」と言っているように見えるなら、さすがに精神科に行け
0479774ワット発電中さん垢版2021/06/20(日) 16:50:53.40ID:bX44ZrGr
やる気次第はもちろん
ソフトよりも高度でしょ
ハードに直結してるぶん
必要な知識量が多いし
ソフトから入った人は
時系列の概念が難しいみたい
0480774ワット発電中さん垢版2021/06/20(日) 17:46:55.54ID:hx3hq87J
>>478
>>456
「ここの常連? はFPGAの事がよく判っていない初心者に対して、FPGAを理解していることを前提でしゃべる」
と批判しているように見えるよ。
0481774ワット発電中さん垢版2021/06/20(日) 19:34:41.60ID:G7INuR+G
>>479
慣れるまで時間かかった

>>480
思い込みが激しすぎる
>>456は、常連と初心者のどっちのあり方が正しいとも言ってない
互いに歩み寄るのが理想だと俺は思うが、面倒なら無理する必要もない
0483774ワット発電中さん垢版2021/07/05(月) 08:55:46.41ID:rP5S+oRq
Vivado使ってる方いらっしゃったら教えて下さい
Verilogファイルを作る際にinput・outputを設定するのですが、作った後にinput・outputを設定する事は出来ますか?
0484774ワット発電中さん垢版2021/07/05(月) 09:03:52.48ID:yi6qvyOr
>>483
テキストだから編集すればいいんじゃない?下位モジュール含めて整合性取る必要あるけど。
0485774ワット発電中さん垢版2021/07/05(月) 09:48:56.01ID:rP5S+oRq
>>484
テキスト編集で上手くできません
ブロックでAdd Moduleした時に最初に設定したやつしか出てこないです
0487774ワット発電中さん垢版2021/07/05(月) 18:18:25.63ID:rP5S+oRq
https://i.imgur.com/C2vMb3c.png
これです
ここで設定したinput・outputを後で設定できるかが分かりません
0488774ワット発電中さん垢版2021/07/05(月) 20:57:47.14ID:e3h+7otU
多分fpgaに書き込んだあとに同一pinをoutにしたりinにしたりできるかってことだと思う
0489774ワット発電中さん垢版2021/07/05(月) 21:07:44.33ID:mRDI3+bP
>>487
生成したモジュール(test.v)がどっかにあるだろ?
それを直接書き換えればいいんだよ。
0490774ワット発電中さん垢版2021/07/05(月) 22:09:05.19ID:5zzZUvcu
487のフリして「ソースを直接書き換えるとプロジェクト内で不整合が生じませんか?」とか言ってみたい

Vivadoも、487の画面なんて完全になくしてシンプルなUIにすればいいのに
0491774ワット発電中さん垢版2021/07/05(月) 23:19:31.21ID:rP5S+oRq
>>489
すみません&#8943;
それが分かりません
コードを書き換えれば良いんでしょうか?
0492774ワット発電中さん垢版2021/07/05(月) 23:28:21.70ID:rP5S+oRq
例えば
module test(
input CLK,
output LED1,
output LED2
);
ここにoutput LED3を追加してもモジュールに反映されませんよね?
後で追加したくなった時のやり方が知りたいです…
0493774ワット発電中さん垢版2021/07/05(月) 23:28:40.07ID:xcqzuf1e
IPインテグレータのブロックデザインでやってるのか
それじゃいつまでたってもできないだろうな
0497774ワット発電中さん垢版2021/07/06(火) 03:51:32.24ID:Ypp/kpfe
ブロックデザイン全否定で草
俺も使ってないけど、ブロックデザインをメインで使ってる業界もあるのかねぇ
0498774ワット発電中さん垢版2021/07/06(火) 10:27:58.45ID:OpJHva0A
483です
知らない用語が沢山あり、理解できませんでした
もう少し勉強してきます
0501774ワット発電中さん垢版2021/07/06(火) 18:19:37.85ID:QTFdXHGb
>>497
っ プログラミング教育

>>499
とはいえプログラマが全員AMD64やARM64等に精通しているわけではあるまい?
0502774ワット発電中さん垢版2021/07/06(火) 20:40:27.46ID:07qweBPj
>>501
小中学校では無理だろうから、高専か大学以上だよな
それこそRTLでやれと言いたい
0503774ワット発電中さん垢版2021/07/06(火) 21:16:13.43ID:WcSKesqf
>>501
用が足せれば知らなくてもいいけど
足せてないというか、現状足しようもないので
マシン語知らなくても実用プログラムは書けるけど
RTLレベル理解してないでFPGAロジック開発はまだ無理だと思う
0504774ワット発電中さん垢版2021/07/07(水) 00:47:36.71ID:uTdH5UmL
いいか、トランスジェンダーだ
FPGAに精通しているマニアでも知らないことがある用語だ
このトランスジェンダーという用語が分かったとき、君はもっと高みに行ける
0505774ワット発電中さん垢版2021/07/07(水) 06:38:36.42ID:adWGnKzn
まず、ブロック図のみでデザインしてみろ

それが出来ないなら、RTL設計出来るとか言っている奴はウソ!
0506774ワット発電中さん垢版2021/07/07(水) 10:42:45.06ID:HfBuSFkV
断絶がある限り飯の種には困らないな
まだまだだよ
ブール代数を小学校でやり始めたら
慌てどきかw
0507774ワット発電中さん垢版2021/07/07(水) 14:15:35.90ID:drU4Gqju
初級でよくあるNANDゲートだけでORゲート作ってみましょうレベルのことがわかってない程度の奴でもVerilog書いてるからなんとかなるよ
0508774ワット発電中さん垢版2021/07/07(水) 15:07:56.52ID:2yx7tJD7
2-NANDだけで、 LATCH and D-FF を作ってみましょう ・・・・

このスレにも、出来ないヤツがいそうだな
0513774ワット発電中さん垢版2021/07/08(木) 08:51:36.34ID:hAb2eUgX
lutとかメモリを使うのは
エミュレーションと考える
粒度もあるから好きなように
解釈すればいいけど
0515774ワット発電中さん垢版2021/07/08(木) 12:44:47.01ID:KdzJbItS
nand云々言ってるやつはhdl書かせるとラッチができても平気な顔してる。
クロック使わずゲートで信号の両エッジ検出とか隠し味的に入れてくる。
0517774ワット発電中さん垢版2021/07/08(木) 19:16:51.52ID:TdxMOPvE
always @ signal1 begin とか
クロック使わず if(signal1) a=b とか書いちゃう?
0518774ワット発電中さん垢版2021/07/15(木) 12:00:09.27ID:vvl7k5jI
いろいろの部品のEOL部品対策で改版したら、FPGAが入荷しなくなった。9月には防爆申請の予約してるのに。 納期回答75週なんてどうしろと 申請用には、改版前の基板からBGAをはがしてつけるしかないか。
0522774ワット発電中さん垢版2021/07/16(金) 09:15:50.56ID:UcmNon3m
半導体全般にそんな感じだな
納期:80週 & 価格:800% up とか(平時ならあり得ないような数値)
要するに売る気がない(売る事が出来ない)、 実際、モノの調達の目処が立たないんだろうが

待ってても全く当てにならないから、 設計し直して代替品に差し替えた・・・

そんな話が結構聞こえてくる
0524774ワット発電中さん垢版2021/07/19(月) 23:44:21.40ID:fEgB0nY8
今からでもオリンピック中止しないものかなー
感染者がもっと出れば中止するかなー
0528774ワット発電中さん垢版2021/07/20(火) 21:03:43.32ID:ItIGQM4F
まず感染する方法を教えてくれ
割とフリーダムな生活しても、意外と感染しないぞ
0529774ワット発電中さん垢版2021/07/20(火) 21:18:55.81ID:LhK5lwrZ
> > 私もxxさんは、ソニーLSIデザインのFPGAのポジションにいい方と思っていましたが、
> > お見送りだったのですね。
> > 残念ですが、一旦その結果がでてしまっていると私たちがどうすることもできません。
> > 今後、xxさんに合う案件が出てきましたらご紹介させて頂ければと思います。
> >
> > 宜しくお願い致します。
0530774ワット発電中さん垢版2021/07/20(火) 23:03:53.90ID:ItIGQM4F
ソニーLSIデザインって年収2000万円嫁つき一戸建てガレージ温泉付き が貰えるの?
0533774ワット発電中さん垢版2021/07/21(水) 00:35:14.24ID:cJuMjbCG
そんなことより、やろうぜ、シスターズポゼッション
前編 ttps://seesaawiki.jp/sisters_possession/
後編 ttps://seesaawiki.jp/sisters_possession2/
0534774ワット発電中さん垢版2021/07/21(水) 00:52:29.17ID:cRLaxq1y
どうしたんだ。犯罪者ルネサスななしっくす。二重派遣時給1100円で東京都労働局に告発されたのがそんなに堪えたのか
0536774ワット発電中さん垢版2021/07/21(水) 01:38:51.71ID:tWucw1Iq
まったくだ、FPGAエンジニアなんぞ時給1100円でも高い
それ以上もらってるなら会社の上司に毎日感謝して絶対服従するべきだ
絶対服従するべきだ
0540774ワット発電中さん垢版2021/07/21(水) 13:49:43.74ID:FzHKIeq6
>>522
さすがに800%はないが120-150%だな
受注済みも分も納期遅れかつ値上げと言ってきた。俺じゃ判断できんと言って法部部に回した。 
俺が新人の頃は注文書と一緒に請書も相手から収入印紙貼って返してもらってたがこれからは必要かもしれない。
0541774ワット発電中さん垢版2021/07/21(水) 20:14:09.31ID:2P7zTEzV
>>538
>>536はもちろん冗談で言ったが、俺が車載やってるのは当たっている
RH850はバカにされても仕方ないと思う
0542774ワット発電中さん垢版2021/07/22(木) 22:09:55.71ID:kM/Ie26C
最近の一番の楽しみは、感染者数の推移を見ることだよ
オリンピックなんかつまらん
0544774ワット発電中さん垢版2021/07/23(金) 20:26:22.43ID:feEGDWjX
車載はゴミ、FPGAこそ至高
FPGAエンジニアは容易に参入できない安泰の職業だ
0547774ワット発電中さん垢版2021/07/24(土) 11:11:41.04ID:z1ChjrVB
TransSexual能力
すなわち自分や他人を簡単に性転換させる能力のことだよ
0555774ワット発電中さん垢版2021/07/26(月) 01:18:16.45ID:kE+HHXb0
意外かもしれんけど一人じゃないし、特に盛り上がってもないのよ
0556774ワット発電中さん垢版2021/07/28(水) 02:10:10.53ID:iJFeXkHM
仕事したくない仕事したくない仕事したくない

まさかこれってコロナの症状?
俺も被害者だったんだな
0557774ワット発電中さん垢版2021/07/28(水) 06:20:08.96ID:2mwCEKWQ
自ら何もせずギャーギャー言いだす評論家こわい

100ページある検証書で対抗
0559774ワット発電中さん垢版2021/07/28(水) 13:07:56.45ID:GeaAycp2
ちゃんと3ページほどの「概要」も作れよ
読む人にとってわかりやすい、読んでいただける資料に仕上げないと意味がないからな
0562774ワット発電中さん垢版2021/07/29(木) 04:10:31.52ID:0tOfi7tb
>>561
そんなものはプリントアウトしてない

そこまでしても、悪意を持って重箱隅突っつくアホと、サイコパスは放流して、名誉毀損スレスレまでして業界で干すことにしている。

まぁ、ごちゃごちゃ言って、給与低いままにするなら、自分でやればいいんじゃねというスタンス。
0567774ワット発電中さん垢版2021/07/30(金) 00:41:10.32ID:E613sBnY
日本の奴隷労働者は高いし使えないし…
本当に大学教員頑張ってんの?
0571774ワット発電中さん垢版2021/07/31(土) 00:04:41.94ID:K8+vaWMh
一部の大学教員は確かに奴隷教育しているけど、みんなは真似しちゃだめだよ
0576774ワット発電中さん垢版2021/07/31(土) 16:10:41.00ID:Ul4M8jDd
NHKプラス最高だわ
興味のないオリンピックをガン無視して、ニュースとコロナだけ視聴できる
0578774ワット発電中さん垢版2021/08/05(木) 23:57:43.11ID:ogEHsGRR
質問です!
掃除屋になりたいのですが、どうすればなれますか!
0581774ワット発電中さん垢版2021/08/07(土) 10:22:12.40ID:gKAiGYkF
質問です!
殺し屋になりたいのですが、どうすればなれますか!
0583774ワット発電中さん垢版2021/08/07(土) 16:54:01.09ID:I9lNDuSu
FPGA7屋で独立開業で食っているのは なひたふ ぐらいですか。企業の皆さんは仕事いそがしいあるか。
0584774ワット発電中さん垢版2021/08/07(土) 22:01:55.14ID:CFaYOGb7
年収500万円以上の皆様は、恵まれない子供たちに寄付をお願いします
0585774ワット発電中さん垢版2021/08/07(土) 22:56:37.56ID:CFaYOGb7
質問です!
FPGAをうまく使って掃除屋になりたいのですが、どうすればなれますか!
0590774ワット発電中さん垢版2021/08/08(日) 22:30:38.09ID:III0EO9p
やっとオリンピック終了かよ
少しはマシになるといいけどな、コロナ
0591774ワット発電中さん垢版2021/08/08(日) 23:06:18.67ID:ircJcuua
むしろもう帰省も旅行も、自己判断で行ってよくねーか
オリンピックを強行しておきながら個人には自粛しろというのは筋が通らん
0592774ワット発電中さん垢版2021/08/09(月) 15:28:09.90ID:M2ul3YG6
>583
なふたひ がFPGAでうまくいっているかなんか分かんないだろ。
会社もほんとはペーパーで、実態は請け負いという名の客先常駐の個人派遣かもよ。
0596774ワット発電中さん垢版2021/08/11(水) 01:05:11.46ID:70dhzrpm
丸川がいい感じにヘイト集めてるな
ちなみに俺のお盆休みはあと5日残っていて、ちょっとした実験用にGPSの動作確認をしたいんだが、どこまで遊びに行くかねぇ
0597774ワット発電中さん垢版2021/08/11(水) 05:41:14.30ID:qTcw8Grp
Sipeed Tang PriMER FPGA Dev. Boardはスレチですか?
0598774ワット発電中さん垢版2021/08/11(水) 11:26:34.84ID:5Gy4o7+E
XやAより開発環境が圧倒的に軽量というのが本当なら大歓迎だな
今後中国に乗り換えるわ
0601774ワット発電中さん垢版2021/08/14(土) 06:51:18.12ID:MhKV7Wkz
大雨もコロナも報道が大げさなんだよな
全て自己判断でいいというのはよく分かった、警報なんかいらない
0602774ワット発電中さん垢版2021/08/14(土) 12:34:15.92ID:81smWOlt
テレビしか観ていない爺婆が多いのよ
0603774ワット発電中さん垢版2021/08/14(土) 12:44:03.09ID:c7WT0bbO
ある人が「自分には関係ない」という認識になるのはどれぐらいなんだろな。

100人に1人しか深刻な被害に遭わない
1000人に1人しか深刻な被害に遭わない
10000人に1人しか深刻な被害に遭わない

なんにせよ、緊急事態の地域じゃ、今はうっかり大怪我もできない。
仮に救急車がすぐに来ても、搬送先を見つけるのに時間がかかる場合がある。

地域の救急体制を確認の上で、お仕事も行楽も気を付けて。
0607774ワット発電中さん垢版2021/08/14(土) 20:36:33.27ID:c7WT0bbO
>>606
確かに。
コロナ受け入れしていないところには搬送されるから、発熱やコロナ疑い以外なら、
救急車が来さえすれば、搬送は期待できる可能性が高いですね。

ところで、見通しが大げさ、から始まった話題だと思うけど、XilinxもAlteraも供給は楽観的な
感じにはなれないね。マイコンが払底したときに、FPGAもまとめ買いしておけばよかった。
0609774ワット発電中さん垢版2021/08/15(日) 08:37:57.18ID:TzoTAzFq
セキュリティ上または宗教上の理由でNGという人は多そう
まぁそういう人でも、もしXとAのFPGAが終了してもマイコンなりソフトなり次の仕事は見つかるだろ
0610774ワット発電中さん垢版2021/08/15(日) 10:45:04.89ID:ss/oUMeE
秋月で2580円のTang PrimerのAnlogic EG4S20が中華FPGAかと
Tang NanoのGOWIN GW1N-1もそうだよね
新型Tang Nano 4kはGOWIN GW1N-4かな
0612774ワット発電中さん垢版2021/08/15(日) 12:52:39.69ID:Z/4x4WUA
Xilinx で例えると、 XC6SLX16 と XC6SLX25 中間ぐらいの規模かな?
安いのは確かだが、XC6SLX16 + SDRAM のボードが 同程度の値段で買えるの考えると、 微妙

データシート類は予想通りプアだったが、 ボードの回路図とかは公開されてる
安いから 何かのついでに買って遊んでみる分には良いかも @ 秋月(Aliexpress より安いってのが偉い)
0614774ワット発電中さん垢版2021/08/15(日) 16:54:21.88ID:RT/ZQQhT
昔ちょっと気になって調べたけど中華でもあまり安くなくて微妙だったな
0615774ワット発電中さん垢版2021/08/15(日) 16:54:25.36ID:qmsAyhV3
昔ちょっと気になって調べたけど中華でもあまり安くなくて微妙だったな
0616774ワット発電中さん垢版2021/08/15(日) 19:28:48.85ID:Bb23jOlG
原価が安いから安く売れるのは中国だとてかわりないだろう
コピペ設計に訳あり部品調達できないものは安くしようがない
0617774ワット発電中さん垢版2021/08/15(日) 20:12:15.83ID:dZXUGHvn
>>611
Latticeの人がスピンアウトした会社で勤め設計はシリコンバレーなんじゃなかったっけ?
製造が中国。
国内代理店は、まだ丸文のみ
0622774ワット発電中さん垢版2021/08/18(水) 18:40:03.35ID:keMUpZ8a
中華のEG4S20、BRAMの自由度がイマイチ

Altera / Xilinx 供に、容量が規定以下なら(M9Kなら9Kbit)、構成するbit幅に関らず1個のBRAMで済むが
この中華のヤツは、幅広の構成を選ぶと BRAMを横に並べるようだ(複数使う)
おそらく BRAMその物が x9幅の固定長なんだろう

例えば、
1kx8bitは、BRAM(M9K)1個 だが
512x16bitの構成にすると BRAM 2個、 256x32bitの構成だと BRAM 4個が使われる
Al/Xi なら、幅に関らず 何れも構成でもBRAM 1個で済む

幅広の構成BRAM(例えばマイクロ・コード)を多用したヤツを乗せようとすると、早々にRAMがパンクするな コレ

公開している資料も さわり程度のプアなものだけ
軽々しい事は 言いたくないが、 安かろう/悪かろう ってヤツだな
0623774ワット発電中さん垢版2021/08/18(水) 20:33:23.29ID:9pmecPaE
>>622
その辺の詳細な仕様が出てないならまともに使えないよな
制限があるなら明確にしてほしい
0625774ワット発電中さん垢版2021/08/19(木) 21:08:38.91ID:upzUee6k
テスタの試験成績書すら提出できないFPGAメーカは切っていいかな
0629774ワット発電中さん垢版2021/08/21(土) 20:42:37.79ID:dvK2G3BS
数十万ゲート級のFPGAが1万円以下で買えるようになるのはいつかな
0632774ワット発電中さん垢版2021/08/25(水) 21:32:57.30ID:1On5NpQl
日本全国でFPGA技術者がいなく、派遣に頼っていた企業が低賃金で募集しているようだが。

自己責任という一言で片付けられるので。笑える
0633774ワット発電中さん垢版2021/08/25(水) 21:37:38.72ID:mRLzSBSR
>>632
目立だけだろw
0634774ワット発電中さん垢版2021/08/25(水) 22:39:01.26ID:AA7CFkHy
内閣府が偵察衛星の画像分析技術者を募集していたお国ですし・・・
0642774ワット発電中さん垢版2021/08/27(金) 04:17:23.07ID:vuBV/GbV
>>641
それ何だっけ?

しばらく実務してないで、かなりサイコパスっぽい上司と戯れてたら忘れたw
0643774ワット発電中さん垢版2021/08/27(金) 07:54:40.70ID:/PjfeYGT
TransSexual Fiction(性転換のマンガ等)
Onna Doushi(女同士入れ替わり)
常識だぞ
0645774ワット発電中さん垢版2021/09/01(水) 12:56:06.35ID:jD3gvSlp
・〜1万くらいまで
・100ピン以上(マイコンに繋いで使いたい。メモリとかも繋ぎたい)
・3.3V可
・3.3V単電源
こんな感じのFPGAボードで良さそうなのがあったら教えてください
安いのって大抵I/Oが少ないような・・
0646774ワット発電中さん垢版2021/09/01(水) 14:12:01.47ID:FAAziHrq
> ・3.3V単電源

なぜこれが条件なのか不思議だが、
殆どのボードがレギュレータを乗せてるので、有ったとしても極稀
(この構成に需要が多いとは思えない。 世の中には存在するんだろうが 個人的には見たことない)
大概のヤツは、5V以上の電源供給 -> ボード上のレギュレータで 3.3Vを生成 の構成

供給元の5Vは、USBバスパワー or DCアダプタ が大多数
(中華の格安品とかは、USBバスパワーの方が多いな。 使う方から見ても安上がりだし・・・)
0648774ワット発電中さん垢版2021/09/01(水) 15:28:10.93ID:2Jd5/xyj
>>646
同じ電圧を作る電源回路をいくつも増やしたくないし
接続する複数の基板の電源がそれぞれ別系統とかトラブルの物になるような

>>647
値段です。ひとまずグルーロジック的な用途を想定しています
0649774ワット発電中さん垢版2021/09/01(水) 15:47:05.52ID:zICGK/nq
そー言うのは
頑張って探すより
2.54mmピッチ変換程度にローカル電源載せた基板を自作した方が速いと思う
0650774ワット発電中さん垢版2021/09/01(水) 18:40:06.86ID:oy49JL0E
>>649
FPGA使ったことないしチップ自体入手性が良くないらしいし
出来合の基板を買った方が無難かなと思ったのですが・・
最近のマイコンは安価な試作・評価用ボードとかあるじゃないですか
あんな感じなのがないかなと

電源は自作の基板側で作ってマイコンとFPGAに配るつもりでした
マイコンは3.3Vを予定しているのでFPGAも同じ電圧で動いてくれると嬉しいです
0655774ワット発電中さん垢版2021/09/01(水) 22:14:43.72ID:dpNy5vQd
DE0-Nano P0082(100ピン弱出てそう。1万越えるけどメモリ搭載でお得?)
尼のCyslonell EP2C5T144が載ってるボード(100ピン弱くらい出てそう。要JTAGアダプタ)
このあたり?

>>651
秋月で一番ピンが多いのってMachXO2じゃね感が・・

>>652
MAX10搭載でピンがいっぱい出ているボードってどんなのがあります?
0657774ワット発電中さん垢版2021/09/02(木) 00:32:30.19ID:qshFXwyo
マルツのMTG-MAX10-FB-F。出ているピンは少ないけどSDRAMは実装済みだから省ける。元は雑誌の付録だから雑誌買わないと資料集めが大変。ピンアサインとか。でもネットで何とか集まる
0658774ワット発電中さん垢版2021/09/02(木) 11:01:14.33ID:5UPjpC5B
EP4CE22F17C6N
 ttps://ark.intel.com/content/www/jp/ja/ark/products/210468/cyclone-iv-ep4ce22-fpga.html
 22320LE、SDRAMC有
10M08SAE144C8G
 ttps://ark.intel.com/content/www/jp/ja/ark/products/210271/intel-max-10-10m08-fpga.html
 8000LE、ADC有
MTG-MAX10-FB-Fのメリットって3.3V入力動作出来るのと若干安いことくらい?
メモコン無いみたいだし機能的なメリットはあまりないような

>>657
SDRAM付きで出ているピンは45本くらい?
マイコンと繋ぐだけで40本(Data16/Address20/+α)くらい使ってしまうことを考えると8本も残らないと思うのですが
0659774ワット発電中さん垢版2021/09/02(木) 11:34:20.91ID:VVpXeBkE
>>658
マイコンをFPGAに内蔵すればよい。

そもそも何に使うか知らんが、データバスフルで必要な高速な処理なのかと小一時間
0663774ワット発電中さん垢版2021/09/02(木) 13:02:01.07ID:kOWHHH2c
>>659
FPGAを使うからにはマイコン単体では困難な事をしてみたいです
でかい液晶パネルに表示させるとか

マイコンをFPGAに内蔵するってそれはそれで難しいのではないですか?
0665774ワット発電中さん垢版2021/09/02(木) 17:32:53.28ID:JcGSpC9u
VSYNCとYSYNCつくればOK

せっかくだから、ディスプレイも自作して

FPGAでラジオも自作して、空を飛ぶようにしようw
0666774ワット発電中さん垢版2021/09/02(木) 20:30:12.37ID:wHCE53jp
>>658
MTG-MAX10-FB-FはSDRAMコントローラは無いけどSDRAMは搭載されてる。NIOSを使うのならIPとしてSDRAMコントローラが使用可能。
0668774ワット発電中さん垢版2021/09/02(木) 20:56:03.14ID:yYsbKWWJ
>>667
マイコンしか触ったことのないド素人には難しいことを言ったようで、息子に代わり母がお詫びを申し上げます!
0670774ワット発電中さん垢版2021/09/02(木) 22:33:40.06ID:S2rgv46H
お前ら和歌山県出身の下村拓郎様(35歳、元自衛隊)をご存知か、この方は将来素晴しい人物になるから覚えておいて損はないぞ
0671774ワット発電中さん垢版2021/09/02(木) 22:34:36.69ID:S2rgv46H
お前ら和歌山県出身の下村拓郎様(35歳、元自衛隊)をご存知か、この方は将来素晴しい人物になるから覚えておいて損はないぞ
0678774ワット発電中さん垢版2021/09/03(金) 22:11:21.50ID:N/8Ghsrn
代理店変更したいんだけど、どうしたらいい?
0688774ワット発電中さん垢版2021/09/04(土) 23:17:29.40ID:vcs2SkOC
>>658
DE0-Nanoはともかく、MTG-MAX10-FB-Fは
Sipeed TANG PriMER FPGA Dev.Boardと
どっちがマシか論になりそうw
0689774ワット発電中さん垢版2021/09/05(日) 00:05:10.44ID:tARxBnbO
PriMER は、SD-RAM 乗ってて、 USER-I/Oも100本以上出てる。 んでもって 2500円 程度
回路図見れば用意に判る話
合致しないのは、オレ・オレボード上のレギュレータを使いたい とか言うマヌケな条件だけ

彼のレベルで、それ(PriMER)が使えるかどうかは大きな疑問だが
物の優劣は、議論するまで無い
0690774ワット発電中さん垢版2021/09/05(日) 00:39:01.09ID:Use95ryF
本人だってCyslonellの格安ボードをあげているくらいだし素のまま3.3V動作は必須じゃなくて
簡単な改造なりで実現できればいい程度なんでしょ
てか回路図やEA3036のデータシートを見た感じだと5Vに3.3V入れても動きそうに見えるけどどうよ?

初級者向けかは確かに疑問あるがそもそも安くて足いっぱい生えているのって時点で選択の余地は
あまりないんだから候補に入れても良いと思う
0691774ワット発電中さん垢版2021/09/05(日) 00:41:46.47ID:d4mWFDdK
PALTEKの営業でも呼べばいいと思う
0695774ワット発電中さん垢版2021/09/06(月) 00:46:30.72ID:hqJwcVE3
FPGAサポートコンサル月10万円でやってくれる業者が欲しい。代理店ガチャ酷いところに当たると永久指名制度なのどうにか汁
0698774ワット発電中さん垢版2021/09/09(木) 22:19:08.57ID:65VNdVno
マクニカ様ありがとう
0699774ワット発電中さん垢版2021/09/11(土) 05:09:23.70ID:4T7mb/tV
digi-key見ていたらEfinixってベンダー初めて知った。
他のベンダーに比べて安いと思う、Quantum アーキテクチャーのおかげ?
RISC-Vだし、低価格期待。
貧乏なので、有名どころはボビーユースには高いなぁ。
Efinixってどうなのかな?、投資してるXilinxに食われたりしないのかな。
0700774ワット発電中さん垢版2021/09/11(土) 06:22:52.83ID:RjMxRe2X
色々やり方変えたいけど上司が障壁になってます。言われたことだけやればいいからと。
0701774ワット発電中さん垢版2021/09/11(土) 10:21:03.97ID:TGJ3B518
>>699
値段安くてとりあえず品切れしてないのがいいよね。
デバイスはいいと思う。
ただ、合成がバカで、多ビットの加算器をリップルキャリーで作って来たり
小さい乗算を展開せずに乗算器に割り当てて
乗算器足りないよって言ってくる。これは設定で避けられるけど。
お試しでツールが使えないのも不便だね。遣うには Xyloni あたり買うしかない。
個人的には T20 の QFP144 に期待してる。
0702774ワット発電中さん垢版2021/09/11(土) 11:07:10.63ID:i/0rAUDA
QFP144は、T8 だけのようだが・・・
安くもないし、小規模品のみQFP144は 他社(X or A)と同じにしか見えない

"Trion"とか言うヤツだけど、見てるの違う?
0713774ワット発電中さん垢版2021/09/15(水) 03:40:22.78ID:QrvrNIZZ
上司のアタマにCPU埋め込まれているのかってぐらいワンパターンで学習しないんだが。せめてAIぐらい実装してほしい
0717774ワット発電中さん垢版2021/09/16(木) 01:28:00.85ID:uweMprZy
頭と腕だけチップを埋め込まれるのはズルいので、HAND社やFOOT社という名前の半導体企業も設立してください
0720774ワット発電中さん垢版2021/09/16(木) 19:23:03.09ID:Bc24fXtE
シーメンスEDAのFAEを積極的に募集しているからおまえら応募しておけ。年収800万円超えだぞ
0722774ワット発電中さん垢版2021/09/17(金) 00:30:57.18ID:rbOrmGTe
FPGA屋にもこれぐらいださんと業界もりあがんだろ

つうかCatapultなんて高額ツール。FPGA業界でかえるとこあんの?
0723774ワット発電中さん垢版2021/09/17(金) 03:29:56.81ID:rbOrmGTe
とりあえず、FPGAからASICにすんなりと低額でTSMCのようなファウンダリにノンストップで引き渡せるミッションを遂行したいので、おまいらの協力を求むw
0724774ワット発電中さん垢版2021/09/19(日) 01:39:00.85ID:Dm+tfpYH
東北大の先生がc言語でfpgaですれば納期短縮でいい
とよつべおっしゃていたのですが本当ですか?
Verilogなんていらないと
0726774ワット発電中さん垢版2021/09/19(日) 10:09:42.78ID:wNJz4SYf
>>724
高位合成ね。もう、それほど珍しいものでもないし
「1サイクル入魂!」みたいな世界では厳しいけど、
それ以外の、特にFPGAとCPUが合体したような世界だと、
まずC/C++で検証して、そこからハードとソフトの分担を決めて
インプリメントするっていうやりかたで、
いずれはCPUそのもののアーキテクチャも
アプリケーションに合わせて最適化していく
方向になるかもね。
0727774ワット発電中さん垢版2021/09/19(日) 10:09:47.80ID:wNJz4SYf
>>724
高位合成ね。もう、それほど珍しいものでもないし
「1サイクル入魂!」みたいな世界では厳しいけど、
それ以外の、特にFPGAとCPUが合体したような世界だと、
まずC/C++で検証して、そこからハードとソフトの分担を決めて
インプリメントするっていうやりかたで、
いずれはCPUそのもののアーキテクチャも
アプリケーションに合わせて最適化していく
方向になるかもね。
0729774ワット発電中さん垢版2021/09/21(火) 03:04:28.11ID:BkbI0tzZ
FPGAに興味があってリアルタイム画像処理したいなーなんて思ってる学生なんですけど皆さんどうやってスキルを上げてますか?
僕はVHDLのみで2進数ををBCDに変換して7セグに表示するってことしかできないです。PSとかよくわかってないひよこです
0730774ワット発電中さん垢版2021/09/21(火) 03:52:52.79ID:EVGtzSMD
そういうのは学校の先生に聞いてみては?
高専や大学ならそういうのが好きな先生もいるでしょ
0731774ワット発電中さん垢版2021/09/21(火) 07:44:28.45ID:N8k+eaUQ
リアルタイムじゃない画像処理をまずは筆算でやって、それからコンピュータ言語で実装して、そのあとにFPGAに実装して、そのあとASICすればいいだろ
0733774ワット発電中さん垢版2021/09/21(火) 22:42:52.38ID:g1WnTp4j
>>728
高位合成ならずいぶん昔にやったけどね。
当時のターゲットはCycloneだったかな?面白かったよ。ソフトで書いてデバッグして、まあ、こんなもんだろってところで高位合成向きに手を加える程度で動いちゃったし。
無論、全部が高位合成ってわけにはいかないけど、ツボにハマれば便利だよね。
0739774ワット発電中さん垢版2021/09/27(月) 04:43:09.78ID:gksmAGut
年間1千万円を超えるツールなんて誰が使うんだろうな
0744774ワット発電中さん垢版2021/10/02(土) 02:58:29.09ID:nM14uv1I
有能な人材に給与を払えない無能なFPGA会社の経営者キターーー
お前どこの会社?
0747774ワット発電中さん垢版2021/10/02(土) 19:52:45.80ID:pF9b8/7N
むしろ>>742が会社名をさらしてください!
超有能なFPGAエンジニアを超安く雇っている会社…わたし気になります!
0750774ワット発電中さん垢版2021/10/03(日) 01:26:41.97ID:5wgJoaGe
派遣・請負なら仕方ない
不満があるなら正社員になるなり独立するなりしろ
0753774ワット発電中さん垢版2021/10/03(日) 15:44:08.23ID:PPSykDnk
派遣・請負が安いのは当然だろ
そこに文句を言うようならとても有能とは言えないから、高校あたりからやり直せ
0758774ワット発電中さん垢版2021/10/03(日) 23:25:19.09ID:kc6JVt2N
すみません
質問です
・Zynq(低性能でいい)
・HDMI出力がある
・USBで書き込める
・Diligent製
以上を満たしてて安いFPGAボードってありますでしょうか?
USBバスパワーで動く物だとなお嬉しいです
0759774ワット発電中さん垢版2021/10/03(日) 23:32:28.04ID:kc6JVt2N
>>758
間違えました
Digilent
です
0764774ワット発電中さん垢版2021/10/04(月) 06:03:59.47ID:PmNOUfna
ありがとうございます
Pynqを調べていたらこちらを見つけました
ZYBO Zynq-7010
https://akizukidenshi.com/catalog/g/gM-12552/
色んな端子が付いていて将来色々できそうです
USB-JTAGと書いてあるのがUSBで書き込める物なのでしょうか?
0766774ワット発電中さん垢版2021/10/04(月) 15:40:34.51ID:PmNOUfna
>>765
教えて頂きありがとうございます
0767774ワット発電中さん垢版2021/10/04(月) 18:24:14.46ID:9cBHYiGd
次回からは少しでも自分で調べてから質問しろよ
その条件でZYBOを見つけられないとか、ほぼあり得ん
0769774ワット発電中さん垢版2021/10/05(火) 06:55:37.63ID:tv3gLEAh
>>767
仕方ないさ。
以前は検索エンジンで探していくのは当たり前に行われていたけど、
今はそれずらできず、TwitterなどのSNSやら掲示板で直接答えを求める時代
なんだとさ。

親も「褒めて育てる」とかいって甘やかして育てているから、叱られ耐性もないし、
読解力も落ちているから、噛んでふくめるように教えないと駄目なんだとさ。
0770774ワット発電中さん垢版2021/10/05(火) 07:26:03.12ID:M3ZkxM8m
ここで直接答えを求める人ってそんなに多くはないしね。
数少ないそういう人だけが、直接答えを求めているだけの可能性もある。

もっとたくさんの人が、検索エンジンや書籍その他から自力で当たり前の
ように調べたり、調べすに怪しい情報を鵜呑みにしたりしているだろうけれど
そういうのはここからは見えにくい。
0771774ワット発電中さん垢版2021/10/05(火) 07:39:53.52ID:Y9ZL1/3k
別に若いやつに限らんよ
うちの会社のジジイもロクに調べずに誰かに聞く
曰く調べるより知ってるやつに教えてもらうほうが確実だとか
上のやつのように聞き方が下手だから始末悪い
とにかく自分の脳みそを駆使したくないようだ
0772774ワット発電中さん垢版2021/10/05(火) 08:27:28.79ID:M3ZkxM8m
そうそう、年代に限らず存在する。でもって、それは自分のもって生まれた個性が、
言われたからといって変わらないのと同じで、言って含めてもたいていは変わらない。

だから、衝突をさけてうまく付きあう方法を考えるしかないんだよな。
0774774ワット発電中さん垢版2021/10/05(火) 08:54:38.32ID:W7ppR/8t
巨乳で30歳未満の女子でFPGAバリバリはどこですか?ググったけど見つからないよ
0775774ワット発電中さん垢版2021/10/05(火) 11:04:20.32ID:IcAD4QVw
とはいえサーチエンジンのS/N比低下を無視して検索しろ言うやつも大概だけどな
0777774ワット発電中さん垢版2021/10/05(火) 19:14:35.02ID:OTvMtFvE
>>774
どれだけ探しても見つからないなら、多分存在しないってことだ
誰かに作ってもらうか、自作するか...
0779774ワット発電中さん垢版2021/10/06(水) 05:05:25.95ID:w0mczLdn
>>774が30歳未満の女子なら、豊胸手術を受けるだけだ
30歳未満の男子なら、性転換手術だ
30歳以上なら来世に期待だ
0783774ワット発電中さん垢版2021/10/06(水) 19:46:17.68ID:Poy5SkCI
もうちょっと過疎るぐらいがちょうどいい

vivadoはもういい
中華製fpgaでいい
0784774ワット発電中さん垢版2021/10/06(水) 20:23:12.51ID:D9niEPRA
開発ツールは重くなる一方だけど、FPGAのは特に大きいリソースを要求するね。
個人ではついていけなくなった。

>中華製fpgaでいい
どれのこと?
0785774ワット発電中さん垢版2021/10/06(水) 21:16:36.26ID:8hMZON1+
中華FPGAなんか買うってことは、少数民族の弾圧や台湾への威圧などに
資金提供しているのと同じだからな。
0789774ワット発電中さん垢版2021/10/06(水) 23:27:50.59ID:aabuKLDi
>>782
ああそれは、おっぱいが徐々に大きくなっているのだよ

貧乳が好きなら、LatticeかMicrosemiだな
0790774ワット発電中さん垢版2021/10/06(水) 23:37:47.60ID:D9niEPRA
>>785
そのへんのスタンダードもいまいちさえない。

中国が自国民を守るため、って言って、ヨソの国に無人機を飛ばして、
間違ったとはいえ、親子連れが乗ったクルマをぶっとばして殺したら
俺たちはなんと言って騒いだだろう。

オリンピックのいち種目を、かつて圧倒的な武力で攻撃してえて植民地
とした島でする国もある。

べつに中国が善き国とは思わないけど、バックドアが入りようのない製品に
ついて、やいのやいのと言ってもな。
0791774ワット発電中さん垢版2021/10/06(水) 23:40:36.89ID:D9niEPRA
今、始めるとして、Latticeは供給が良くないし(どこもよくないか)。

MicrosemiはMicrochip傘下になってもっと普及に力が入るかと思ったら、わりとそうでもなかった。
いまいち、Microchipは安いトレーニングボードが少ない気がする。
0793774ワット発電中さん垢版2021/10/06(水) 23:46:27.58ID:aabuKLDi
>>791
まぁTSMCは、金出すアップルとかニンテンドーを優先するからな

みんな金を出さずファブレスとか言い張ったツケがブーメランでワロス

3年先のオーダーをかねだして入れておけばいいだろ
0794774ワット発電中さん垢版2021/10/06(水) 23:57:18.79ID:D9niEPRA
>>793
>みんな金を出さずファブレスとか言い張ったツケがブーメランでワロス

これなあ。部品メーカーでなくて、製品メーカーでも

手仕事なんてしてちゃだめだ。
製造業者に任せて企画に専念できるようにしよう。

ってわりと大きい流行だったよな。
0795774ワット発電中さん垢版2021/10/07(木) 00:32:09.85ID:IsHO2Ub+
FPGA業者に任せて企画に専念できるように

その上位レイヤーが、税金で仕事をして、お金ばっかり吸い取って何もできない港区田町の日本の電気会社になるんじゃねーの
0796774ワット発電中さん垢版2021/10/07(木) 06:56:49.02ID:3uCHBvYX
ファブレスってことは同じようなものはどこでも作れるってことなんだから、
知財を押さえて同じものを作ることは可能でも作らせないようにすることを重視するしかないね。

少子高齢化を考えれば今後は製造より金融や意匠、著作権など、少人数、あるいは個人で大きなお金を動かせるものにシフトしていく形なのかもしれないけどね。

STEMや特許、知財(取得することも)教育を強化する方向かもね。
0799774ワット発電中さん垢版2021/10/12(火) 00:02:38.84ID:A/0HsY03
もう1つ質問です!
ZYBO上でVivadoをサクサク動かす方法ないですか!
0802774ワット発電中さん垢版2021/10/12(火) 22:38:48.98ID:RYIebVkY
本当ですか!できますか!
でもreconfigurableがいいので、ASICではなくFPGAでやれないか教えてください!
0803774ワット発電中さん垢版2021/10/12(火) 22:45:44.05ID:RYIebVkY
すみません!
FPGAでと言いましたが、低価格がいいのでやっぱりZYBO以下のやつでお願いします!
0805774ワット発電中さん垢版2021/10/13(水) 18:22:33.30ID:Zr3fTPE+
>>803
嘘に釣られないように笑
0806774ワット発電中さん垢版2021/10/13(水) 18:31:34.31ID:SLZ9dSey
>>804
ZYBOだけでは苦しいから、中古パソコンにほんっっのちょっぴりだけアシストさせろってことですか!?
中古パソコンには何をさせればいいですか!?

>>805
スレの皆さんは嘘を本当にする力を持っていると信じています!
0807774ワット発電中さん垢版2021/10/13(水) 19:57:42.14ID:7l3f9Yb3
>>806
中古パソコンを買ったら、オレ様にプレゼントしろ

そうすれば君もFPGマスターだ
0808774ワット発電中さん垢版2021/10/13(水) 21:35:05.57ID:SLZ9dSey
>>807
オレ様さん、こんばんは!

ちょうど最近不調になってきたASUS Vivobook X202Eがありますので、贈りたい気持ちはあります!
しかし申し訳ないです、確実に本人に届く送付先を5chで教えていただける方法が思いつきません!

というわけで、今回はマスターになるのは諦めます!
ZYBO上でWindows 11とVivadoをサクサク動かす方法だけ教えてください!
0810774ワット発電中さん垢版2021/10/14(木) 03:53:29.04ID:UYRQgdl2
>>809
マクニカにも電話をして、Inyelの一番大きいデバイス見積書よこせ
と言ってみよう
0811774ワット発電中さん垢版2021/10/14(木) 05:45:09.83ID:IEjDjEIk
>>809-810
面白そうではありますが、真面目(?)に仕事している人たちに冷やかしは良くないと思います!
0817774ワット発電中さん垢版2021/10/14(木) 19:01:24.89ID:bghJPtz+
>>813
私のような貧乏人が超高額な見積もり依頼をするのは明らかに冷やかしでしょう!
貧乏人は貧乏人らしく5chで相談するべきです!

>>814
それはZYBO上でVivadoを動かしているとは言わないでしょう!
0821774ワット発電中さん垢版2021/10/17(日) 15:52:46.76ID:yQUbveUT
質問です!
スレの皆様はなぜ年収2000万円と嫁と一戸建てがほしいのでしょうか!
そんないいもんじゃないですよ!
0832774ワット発電中さん垢版2021/10/25(月) 20:49:03.55ID:em4U/lHF
質問です!
FPGAって何ができるのでしょうか!
FPGAが一般家庭に普及してない(ように見える)のは何故でしょうか!
0833774ワット発電中さん垢版2021/10/25(月) 20:53:54.58ID:oB42o3EM
>>832
元ルネサス社員にきいてこいよ
0835774ワット発電中さん垢版2021/10/25(月) 21:50:56.23ID:em4U/lHF
>>833
どこに行けば会えるでしょうか!

>>834
「!」でNG登録するといいですよ!
そんなこともできない情弱は黙っててください!
0836774ワット発電中さん垢版2021/10/25(月) 22:47:18.33ID:122mWyaM
>FPGAって何ができるのでしょうか!
標準ロジック素子の組み合わせよりはるかに高速で、複雑なロジック回路を、
そういうものは、従来ならオーダーして半導体工場で作らないといけなかったのに、
エンドユーザー向け製品を作るような工場やシステムハウスでプログラミングできる。

>FPGAが一般家庭に普及してない(ように見える)のは何故でしょうか!
一般家庭に普及するものに使われている状態になっているのは、結果的に大量に出回っていることになる。
大量に出回るものを作るなら、
・大量生産されている既成のデバイスで作るか、
・専用の複雑なロジック回路が必要な場合は、専用ICを作る方が安くなる。
結果的に、一般家庭に普及する製品にFPGAは採用されにくい。
0837774ワット発電中さん垢版2021/10/26(火) 00:23:01.10ID:PhpZfIu6
>>836
す、すごい!なるほどです!
マジレスありがとうございます!

FPGAはCPUよりも信号処理や画像処理には強いはずだけど、FPGA単独では多くのソフトを動かせないですし、
FPGAは専用HW(ASIC)と違ってロジックを更新できるけど、あまり省電力でなく量産化のコストが高い…
まあ中途半端なのが悪いのかなぁと理解しました!
0838774ワット発電中さん垢版2021/10/26(火) 07:27:03.02ID:hLErd0UI
Intel撤退してくんないかなぁ。
全部Xで統一したらどんだけ楽チンか。
0842774ワット発電中さん垢版2021/10/26(火) 21:29:09.07ID:Rcn0p+hO
>>840
量産ならいいけど試作だとそんなに待っていられない。
ってか、検証しないで実機で確認できるのがFPGAの良さだろ。
0843774ワット発電中さん垢版2021/10/26(火) 22:59:38.58ID:rfgPdEqU
あまり聞かないけど、まだやってるのかな?
Xilinxのは何て言ったっけ?
0848774ワット発電中さん垢版2021/10/28(木) 13:08:34.85ID:jrBfHH3Z
>>844
今発売のInterface誌がGOWINの別冊付録
ローエンドレンジをカバーするとの事
安いから試してみたらいい
0849774ワット発電中さん垢版2021/10/28(木) 22:59:48.45ID:PlHgoqCi
>>848
もう触ってるんだけど、実業務で使ってる人の意見が知りたい
そんな奴いない可能性もあるけど
0852774ワット発電中さん垢版2021/11/06(土) 07:06:37.86ID:tE1i7Nd3
>>851
私以上のいい人材が見つかるといいが。
この年種で転職したいと思う、信号処理に特化した人材なんてオレ様以外にいるのならば見てみたいし、是非お友達になって一緒に仕事をし、日本の経済を引っ張っていきたい。
0855774ワット発電中さん垢版2021/11/06(土) 19:40:59.41ID:R3YcDWnb
そうね、この年収だと今よりちょっと下がるし
このままダラダラやったほうが楽だなぁ。
0856774ワット発電中さん垢版2021/11/07(日) 03:38:21.33ID:S1DCtF94
>>855
おまえ、バカだろ
0860774ワット発電中さん垢版2021/11/08(月) 11:30:24.57ID:vf2mILBc
>>851
1000万円超えはマネジメント込みだね。まあ、妥当なところかな。
中小だと設計も外注管理もマネジメントも何でも出来る人材に
600万円とかふざけた所が多いよね。
0861774ワット発電中さん垢版2021/11/09(火) 18:02:14.97ID:02CwMvhz
インテルFPGA12月の納品分から受注済みも20%値上げ。注文書と違う金額の支払伝票がめんどい。 
0862774ワット発電中さん垢版2021/11/09(火) 18:32:05.98ID:Za7c1yjo
そんなこと許されるのか?
Intel直なら仕方ないのかな。
商社噛んでれば商社が被るよね。
0867774ワット発電中さん垢版2021/11/12(金) 02:58:05.44ID:eVTBfmQQ
正規代理店は、メーカーと同レベルのサポートが出来るんだって。
0868774ワット発電中さん垢版2021/11/12(金) 02:59:49.42ID:eVTBfmQQ
>>861
受注済みを勝手に値上げするとか何事だ支払わんぞ今すぐ説明に来い!

と、正規代理店にいえ
0869774ワット発電中さん垢版2021/11/12(金) 23:21:15.81ID:V3E9KloP
Questa Intel FPGA Starterのライセンスファイル取ったけど実行するとエラー出る
どっかにライセンスの設定チュートリアルない?
ModelSimのしか見つけられんのだけど
0870774ワット発電中さん垢版2021/11/15(月) 15:41:41.27ID:9al5OkS6
環境変数設定したらQuesta Intel Starter FPGA Edition起動しました
失礼しました
0872774ワット発電中さん垢版2021/11/16(火) 04:41:46.62ID:2Er2xLay
>>867
>正規代理店は、メーカーと同レベルのサポートが出来るんだって。

インテル狂ってる
0873774ワット発電中さん垢版2021/11/16(火) 12:02:32.00ID:1jctHfV8
>>867
逆に考えるんだ、メーカーは正規代理店と同じレベルのサポートしか提供しないと
0879774ワット発電中さん垢版2021/11/17(水) 09:38:15.73ID:xR2x+c06
FPGAで作っておけばディスコンに強い、って宣伝してたこともあったのに。

FPGAのディスコンに合わせて回路もリニューアルすることが前提であることに
気づくのに、多くの時間は必要ではなかったけれど…。
0881774ワット発電中さん垢版2021/11/17(水) 14:47:19.83ID:oHUjwnLE
>>792
まあ、アメリカを悪とするなら、中国は、極悪非道だけどな。
0882774ワット発電中さん垢版2021/11/17(水) 17:35:53.96ID:NtZocBuq
ディスコンでもいいけど安くなって大量に出回らないかな
0886774ワット発電中さん垢版2021/11/17(水) 23:09:10.07ID:z+/srcX9
>>880
うん、今日知った
タダでQuestaが使えるなんて夢のようだな
行数じゃなくてインスタンス数の制限に変わったから、相当大きなプロジェクトまで使えそう
0887774ワット発電中さん垢版2021/11/17(水) 23:11:51.93ID:D0EsVhkk
業界素人ですが、FPGAも枯渇しているのは製品に当たり前のように使われてるからですか?
FPGAって試作用途のイメージで、大量生産はASIC化するから
そもそも生産計画数が少ないからですか?
0889774ワット発電中さん垢版2021/11/18(木) 00:33:23.34ID:ACIrEt34
Microchip 旧Actelだけど、ピン配指定しているのに割り当てられないで滅茶苦茶になることって、普通にあるの?常識?
0892774ワット発電中さん垢版2021/11/18(木) 02:46:35.04ID:0idB0yi/
ただ、勿論だけど
その場合はピン配守れなかった!って警告とか出てる筈

一応、ピン配をロックするオプションが設定されているか確認してみた方が良い
latticeの奴とかは、デフォルトがピン配無視だった気がした
0893774ワット発電中さん垢版2021/11/18(木) 17:09:02.53ID:zbqugBmc
digikeyでspartanを在庫あり制約で検索すっと、
2と3の古いのしか残らないw
artix,virtexなんか全滅だw
0895774ワット発電中さん垢版2021/11/18(木) 19:54:26.21ID:pr7w1nyF
FPGAに限った事じゃない、半導体全般の話
利幅の高いヤツを優先してんだろ、当然だ

Aliexpress じゃまだで売ってる
品質は知らんが、上がったとはいえ他に比べれば十分に安い・・・
XC6SLX9TQG144C \507
XC6SLX16FTG256 \688
0898774ワット発電中さん垢版2021/11/19(金) 10:30:20.62ID:/JDymSPm
ルネサスが小規模FPGA市場に参入、0.5ドルでの提供目指す

https://eetimes.itmedia.co.jp/ee/articles/2111/18/news133.html

第1弾としてLUT(ルックアップテーブル)が1000個(1K)および2000個(2K)の製品を計画している。
これらの製品では、待機時の消費電流が20μA以下になる見込みで、超低消費電力を実現できるとする。
1K品のサンプルとβ版開発ツール、プロトタイプの開発キットは入手可能だ。
1K品の発売と量産は、2022年第2四半期を予定している。
0901774ワット発電中さん垢版2021/11/19(金) 12:33:08.86ID:aEXcKm1O
6pinマイコンとか、一見すると "??"
とか思ったりするが、 実際それなりの需要があるからな

狙い処は悪くない
0902774ワット発電中さん垢版2021/11/19(金) 15:25:39.85ID:LWRuix9P
>>898
そのクラスは米国勢だけでなく中国勢も入り交じってレッドオーシャンに見えるけど生き残れる目処はあるのか?
0904774ワット発電中さん垢版2021/11/19(金) 18:24:59.19ID:EyKnDNKR
プリント基板の配線変更用途じゃないの?
ASIC出来る前に基板設計はじめる奴用に
0905774ワット発電中さん垢版2021/11/19(金) 20:31:52.64ID:6LvHt8pi
>>903
FPGAが昔から何百万ゲートもあったと思っているとか?

想像力の無さが創造力の無さになっているのかな?
0906774ワット発電中さん垢版2021/11/19(金) 21:08:25.73ID:5YgN3YuT
128マクロセルのCPLDも用途があった。
ちょっと複雑な信号パターンの生成とか、大規模である必要はないけど
マイコンだとしんどい用途ってあるしね。

マイコン内蔵のタイマーって結構いろいろなパターンを生成できるけれど、
あれをなんでもかんでもソフトでできると思う人は少ないと思う。
タイマーがカバーしていないパルスのパターンだと、プログラマブルロジックが
簡単な解になることがある。
0908774ワット発電中さん垢版2021/11/19(金) 21:23:22.30ID:U9eLvltv
ルネ本体じゃなくてこないだ合併したdialog発なのねこのGreenPAKって
dialogのcodecなら使ったことあるけど
安くて機能豊富で良かった
あの会社?なら期待できそう
0909774ワット発電中さん垢版2021/11/19(金) 21:32:08.79ID:9EhG4P/Q
マイコンのペリフェラルじゃ限界あるからなあ
0.5ドルなら普通に欲しいわ
0910774ワット発電中さん垢版2021/11/19(金) 21:33:37.29ID:5YgN3YuT
どんなデバイスでも、用途が自分に分からないときに、世の中に用途がないものだと考えてしまう人がいるね。
加えて
「知っている人は、必ず自分に教えてくれるはずで、教えてくれる人がいないということは
知っている人がいないということだ」
と考えてしまう人がいるね。普通は気に入らない相手には、世間が知っていることだとしても教えない。

ともかく、わりと簡単なことで、今、小規模FPGAは新興メーカーが参入してきてすごくホット。
それは用途がわからなくても、用途があることを知るには十分なこと。
0911774ワット発電中さん垢版2021/11/19(金) 21:46:33.57ID:U9eLvltv
御説ごもっとも。
dialogのサイトにいったら豊富なアプリケーションノートがあったよ
念入りに準備してるみたい
latticeとかコストで売ってたから
競合しそうだね
0913774ワット発電中さん垢版2021/11/19(金) 22:54:03.68ID:S9gDtRDW
>>912
GreenPAKはリクエストしたからひょっとしたら入れてくれるかも?
FPGAの方は量産は2022Q2だからまだまだ先だな
0916774ワット発電中さん垢版2021/11/20(土) 04:14:35.04ID:SRm80hYi
レスターに買収された代理店だけどX関係は優秀だが
それ以外のやつ幹部側に無能がいるので気をつけて
多分皆さんは既にご存じだと思うが念のため
0918774ワット発電中さん垢版2021/11/20(土) 06:32:48.79ID:dkix4S17
マイコンと組み合わせて信号の前処理や後処理やらせてもいいしね。
速度が必要な部分が限られているなら、そこだけFPGAにやらせて、マイコンは低速でも良い処理に専念させれば、より小規模なマイコンで事足りるし。
PSoCのデジタルブロックやUDBが大きくなったようなものと思っても良いんだろうな。
0919774ワット発電中さん垢版2021/11/20(土) 07:28:55.14ID:W4UYybt3
>>918
小さすぎてできない

お前はFPGAすら触ったことのない

国産マイコンをなんかしている人間だろ?
0921774ワット発電中さん垢版2021/11/20(土) 07:40:16.11ID:NL9Xk3GD
>>919
ああ40nmとかナノチンコをアピールガンバッテマスの半導体屋かw

スリープの低消費電力ってフラッシュ型のFPGA?
0922774ワット発電中さん垢版2021/11/20(土) 07:50:27.88ID:ErF54A0U
>>919
すぐ妄想に入っちゃっう人?
勝手な人物像思い描いて、トンチンカンな攻撃をする。
この前電車の中で千枚通しのようなものを取り出して乗客脅した誰かさんと同じような、あぶない頭しているのかな?

一回病院行くか、カウンセリング受けた方が良いんじゃない?
0923774ワット発電中さん垢版2021/11/20(土) 08:03:45.12ID:ErF54A0U
>>919
PSoCのデジタルブロックやUDBがそんなに巨大だと思ってるの?
0924774ワット発電中さん垢版2021/11/20(土) 08:03:53.95ID:ErF54A0U
マイコンと組み合わせて信号の前処理や後処理やらせてもいいしね。
速度が必要な部分が限られているなら、そこだけFPGAにやらせて、マイコンは低速でも良い処理に専念させれば、より小規模なマイコンで事足りるし。
PSoCのデジタルブロックやUDBが大きくなったようなものと思っても良いんだろうな。
0925774ワット発電中さん垢版2021/11/20(土) 08:04:40.23ID:IJqeWLj8
>>919は、
「マイコンと組み合わせて信号の前処理や後処理やらせても」を「FPGAの中にマイコンを入れる」と認識したのかな?
そうではない。普通のマイクロコントローラを使っておいて、

厳しいタイミングが必要なデジタルI/O(たとえば、条件が成立したときに対応する信号を出すとか)を、外付けのFPGAに委ねる

みたいなことだと思うよ。
こういうのだと、ごくシンプルな場合なら標準ロジックでもできるし、ありし頃なら、GAL16V8 みたいなのでもこなしたりした。
PICマイコンのCLCはこういうのをある程度カバーしている。
あるいは、>919の勘違いは
「PSoCのデジタルブロックや」
のPSoCを、Intel、XilinxなんかのSoCと読み取ったとかかも。
0927774ワット発電中さん垢版2021/11/20(土) 09:11:38.38ID:EbIDa61u
何で突然マイコン語る奴が出てきているかは、井の中の蛙のルネサスさんかなw
0928774ワット発電中さん垢版2021/11/20(土) 09:13:06.18ID:EbIDa61u
会話が続かないので放置しましょう。南無阿弥陀仏
0929774ワット発電中さん垢版2021/11/20(土) 09:25:08.22ID:IJqeWLj8
自分の世界だけがすべてだと思ってる ID:EbIDa61u がいるね。
大規模FPGAだけで成立するシステムなんて世の中の一部でしかないのに。
0930774ワット発電中さん垢版2021/11/20(土) 09:33:12.97ID:bDzvA9LY
>>919
LUTの構造によるけど1000個あれば
ゲート規模は10Kくらいあるだろ。
いろいろ出来そうだけどね。

FPGA自体は作るの簡単だけど
結局ソフトなんだよね。
日本が弱いとこだし。
0932774ワット発電中さん垢版2021/11/20(土) 09:51:09.10ID:IJqeWLj8
>日本が弱いとこだし。
ルネサスの件なら、開発ソフトに関しては制作の主体はダイアログだろうし。
とはいえ、作る経験値を積まないと、作らないより悪くなっていくね。
自分だって、いろいろお客様がお仕事をくれたから育っていられるわけだし。

ついつい完成されたよりよいものを求めた結果、海外製品や海外のサービスを
使ってしまうのは多分自分の弱さのせい。
それなりに豊かで幸せな日本は次の世代に残したいのだが。
0933774ワット発電中さん垢版2021/11/20(土) 09:55:44.71ID:IJqeWLj8
>>931
その大手半導体屋と自分を比べてみたら、自分の方がもっと何もできなかったりして。
匿名だと自分のことを棚にあげて、他人が何もできないって簡単に言えるね。
0936774ワット発電中さん垢版2021/11/20(土) 10:08:31.29ID:QbMh5Itq
>930
何処かに張ってあった
直接データシート見たわけじゃないから真偽は不明だが
何処も同じような内容だから、恐らく正しいのだろう

---

SLG47910V:
・高密度ロジックアレイ:
 900個の4ビットLUTに相当します
 1.8kDFF
 5kbの分散メモリ
 32kbBRAM
 NVMおよび/またはSPIインターフェースを介して構成可能
・50MHz高周波発振器:
 3.41MHz低電力モード。
・フェーズロックループ(PLL):
 外部ソースまたは内部オシレーターからの入力
・電源:
 VDDIO:1.71 V?3.6 V;
 VDDCore:1.1 V±10%;
0939774ワット発電中さん垢版2021/11/20(土) 10:21:45.60ID:IJqeWLj8
>そっか、ROM外付けは面倒くさいな。
え、そこまで読みとれる?

>NVMおよび/またはSPIインターフェースを介して構成可能
「不揮発性メモリとSPIでコンフィグレーションができる」とだけ読み取ったけど。
0940774ワット発電中さん垢版2021/11/20(土) 10:26:22.04ID:xO6G8xUQ
むしろ
>VDDIO:1.71 V?3.6 V;
>VDDCore:1.1 V±1%;
こっちの方が気になるわ。1電源じゃなくて2電源?
0944774ワット発電中さん垢版2021/11/20(土) 10:57:30.18ID:QbMh5Itq
>937
どうも、ワン・タイムっぽいよ(コスト優先ならそうなるな)
開発用 or 予備としてSPI を用意ってところかな?

レギュレータ内蔵なら、2電源だろうが/3電源だろうが 構わないが
ソコは不明
0946774ワット発電中さん垢版2021/11/20(土) 11:06:10.65ID:QbMh5Itq
すまん、コピペで文字が飛んだようだ

 VDDIO:1.71 V〜3.6 V
 VDDCore:1.1 V±10%
0949774ワット発電中さん垢版2021/11/20(土) 11:56:56.24ID:z/Lu7noF
ルネサス社の中の連中は、アホだから散々FPGAを敵対してた。
0951774ワット発電中さん垢版2021/11/20(土) 12:07:32.10ID:IJqeWLj8
>>949
ちょっと前までは特許の関係で参入障壁が大きいものだったしね。
自分たちが手掛けられないものは、良いように言わないし、
自分たちが扱ってるものは、負けそうであっても負けないって言うのが商売では普通。

ワープロメーカーに「パソコンに負けませんか」っていうアンケートが過去にあった、という話題があったよね。
その当時のワープロメーカーの強気の回答を、現代に読み返して、「ワープロメーカーは先見の明がない」って
言ってた人がいたけど、あれはばかだと思う。
0952774ワット発電中さん垢版2021/11/20(土) 12:21:12.10ID:z/Lu7noF
ルネサス無能流の言い訳が釣り放題。これがPSYCHO-PASSってやつかw
0953774ワット発電中さん垢版2021/11/20(土) 12:29:33.61ID:z/Lu7noF
なひたふ さんを敵に回した君らの罪は重いよ。お引き取り頂きたく
0956774ワット発電中さん垢版2021/11/20(土) 13:30:20.17ID:IJqeWLj8
>>955
その「マイコンには内蔵されていないけれど、HDLで3分で作れる程度のロジック」を機器に
実装するためだけに、どんな実デバイスを使うのか、というイメージがないのでは?
0960774ワット発電中さん垢版2021/11/20(土) 13:56:43.01ID:IJqeWLj8
>>957
それが日本語ではないものに見えるなら、コミュニケーションが成立しないね。
0961774ワット発電中さん垢版2021/11/20(土) 14:17:49.85ID:/laly28b
>>960

        ____
        /     \
     /   ⌒  ⌒ \   何言ってんだこいつ
   /    (●)  (●) \
    |   、" ゙)(__人__)"  )    ___________
   \      。` ⌒゚:j´ ,/ j゙~~| | |             |
__/          \  |__| | |             |
| | /   ,              \n||  | |             |
| | /   /         r.  ( こ) | |             |
| | | ⌒ ーnnn        |\ (⊆ソ .|_|___________|
 ̄ \__、("二) ̄ ̄ ̄ ̄ ̄l二二l二二  _|_|__|_
0962774ワット発電中さん垢版2021/11/20(土) 15:06:19.94ID:/laly28b
そのルネサスのFPGAは他社に比べて何が利点なんだ?
低消費電力オンリーイベント?
0967774ワット発電中さん垢版2021/11/20(土) 16:44:09.29ID:ErF54A0U
>>962
単に小規模の低価格、低消費電力のプログラマブルロジックっていうだけでも、ありがたいけどな。
LUTが1000個とか2000個あるならそれなりに周辺も取り込めむだけじゃなくて、もうちょい気の利いたこともできるだろうし。
でも、パッケージはBGAなのかなあ?QFPも出して欲しいわあ。

てなところて、Zynq Ultrascale+MPSOC向けでVivadoうごかしてるけど、たいした使用率でもないのにSynthesis が終わらねえぞ。どうしてくれよう。
0969774ワット発電中さん垢版2021/11/20(土) 17:40:45.66ID:QbMh5Itq
>967
パッケージ:QFN-24
とある、真偽のほどは判らん。(ツールから逆追いしたようだから、おそらく合ってるとは思うが)

後は自身で確認してくれ
0972774ワット発電中さん垢版2021/11/20(土) 20:33:07.83ID:Wbny2NQq
>>943
Spartan-3くらいの印象
50MHzは余裕、100MHzはムリ
合成はSVもVHDL2008も使える
内蔵ロジアナあるし、ツールは悪くない
シミュレータが無い
0973774ワット発電中さん垢版2021/11/20(土) 20:40:03.65ID:Wbny2NQq
>>906
俺とそういう用途にありがたいと思うな
マイコンからは適当なシリアルで繋いでマイコンのタイマーで出来ないPWM波形の生成に使いたい
0974774ワット発電中さん垢版2021/11/20(土) 20:57:11.70ID:Wbny2NQq
>>967
GreenPAKはTSSOPもあるから淡い期待してるけど
今は小さくしないと駄目だからQFPは望み薄だね
GowinもほとんどQFNだし
0976774ワット発電中さん垢版2021/11/21(日) 05:05:14.37ID:OP/e+RfS
>>916
外国人でメーカIPの1-2時間で立ち上げれるシミュレーションの結果を2週間かけて示して(スクリプトは提供しない)ドヤ顔で問題ないだろと言ってきた奴はいた。
ヤフオクで外国人不良品売りつける詐欺にあってちょうどその頃トラブっていたんだが、「あなたヤフオクヤフオク」とか意味不明なことを言い出して、「それと今回の件なにが関係するんですか」と言ったら「また電話します」といい電話が一方的にから、電話も連絡も無い。
本当に意味不明だった。
初期のFPGA業界をリードした人物のようだが。あれは無い。
注意すべき。
0977774ワット発電中さん垢版2021/11/22(月) 01:17:31.30ID:GfR5cDT1
手配師や自ら手を動かさずFPGAを語り出す奴は、概ね自己愛性人格障害かつサイコパスかと。

http://www.jikoaitaishohou.net/entry47.html
0982774ワット発電中さん垢版2021/11/22(月) 09:00:54.04ID:CyP6Mn2x
>>977
そうだね。なんで小規模FPGAを目の敵にするんだろうって思う。
自分が要らないものには存在価値がない、みたいな考え方をする人なのかも。
0983774ワット発電中さん垢版2021/11/23(火) 04:12:28.09ID:XAGvd8SU
>>982
目の敵にしてないよ。

実績ない物は使えないし、Latticeでいい

後発メーカーのルネサスのFPGAを使う利点がわからん←誰も説明してないだろ。
0984774ワット発電中さん垢版2021/11/23(火) 04:14:13.57ID:XAGvd8SU
>>982
別にルネサスの事を言っているわけではないが、お前の視野が狭くてなぜか釣れてクソオモロ
0985774ワット発電中さん垢版2021/11/23(火) 09:03:39.76ID:/no9tjBt
なんか必死で哀れだな
単に選択肢が増えることは良い事だってだけなんだけど
Latticeにはこういう信者が多いのか?
0986774ワット発電中さん垢版2021/11/23(火) 09:44:44.95ID:bFXCES+3
>>985

>>982
目の敵にしてないよ。

実績ない物は使えないし、Latticeでいい

後発メーカーのルネサスのFPGAを使う利点がわからん←誰も説明してないだろ。
0988774ワット発電中さん垢版2021/11/23(火) 10:55:27.58ID:XAGvd8SU
利点がわからん

その上で
HDLコピペしても、動くのか?

新規デバイスのFPGA使うと、枯れててもノウハウないからはまって、代理店に問い合わせてもわからず。
買収を繰り返したあげく、米国にFPGAエンジニアがリストラされて詰むとか普通にあるからな
0989774ワット発電中さん垢版2021/11/23(火) 11:24:33.76ID:wCw4F2gj
選択肢が増えることが良い、ということが分からん人っているんだね。

どれを使ったらいいのか迷う時間が増える、ノウハウがないものは時間のロス、
出始めのものはトラブルにあう確率が高い、こういったことを乗り越えたときに
社会にメリットが発生するよ。

Lattice社製品しか使わない会社と、新規参入会社も使える会社が出てきて、
やがて、開発を依頼する側もLattice社製品しか使わない会社以外の会社を選べる
ようになる。
それだけでも社会的にメリットがあるよね。(メリットがないとしたら、今の
技術に留まろうとする人に、でしょうね)

そもそも今のメジャーだって最初は新興メーカーだったわけで、メーカーの興亡が
長い歴史の途中であるなら、これから生まれるメーカーの中から新しいメジャーが
出てくることになる。

もちろんこれは賭けみたいなものだから、今の場所に留まる人が留まる理由を考えるのは
普通のことだし、新しいものに手を出す人をディするのは、保身のためでもあるね。

どのみち、今はLatticeだって自分が希望するデバイスが必ずしも入手できる状態じゃない。
俺の得意先にもLattice製品を使っている製品があるけど、それは製造できないって
言ってた。今はそんなもんだ。
ルネサスはまだだけど、新興メーカーのFPGAを評価する良いタイミングだと思う。
0990774ワット発電中さん垢版2021/11/23(火) 11:39:17.48ID:hbeyPqe1
正直
まぁ会社での取引ならルネで…ってのは解る
まとめられるなら纏めたいだろうしな!

latticeって出てくる人々の方は趣味系の方が多いんだろ
今でも秋月で買えるlatticeも使おうとしない人々が新デバイスを利用するとは思えないwって事だろうww
開発環境はともかく、書込みケーブル込みで買おうとするとどちらにせよメンドイけど

ヲラもlattice使ってるけど
使ってるXP2のQFPはdigikeyで納期来年7月以降とかになっちゃってるからねー
そんなに使わないから良いけどー
0991774ワット発電中さん垢版2021/11/23(火) 11:50:41.62ID:wCw4F2gj
今は単品、少量品は入手できるデバイスで設計したりリメイクしたりしないと作れないからなあ。
大量生産品でこうなるまえに部品を押さえられなかったところは頭が痛かろう。
0998774ワット発電中さん垢版2021/11/23(火) 14:38:22.43ID:wCw4F2gj
>GOWINなんて中国国内専用ってことで。
そんなことになったら、ますます中国と日本の差が開きそう。
向こうのエンジニアは安くて潤沢に使えるデバイスを独占的に手にするわけだね。
0999774ワット発電中さん垢版2021/11/23(火) 15:40:11.67ID:L7Ka9+UN
Renesas 40nm
JASM 22nm/28nm(2024年稼働予定)
SMIC 14nm
TSMC 5nm
Samsung 5nm
Intel 10nm
GF 14nm
対台、対韓、対米どころか対中でも相当後れているという認識を持つべき
さもなければ更に差は開く
1000774ワット発電中さん垢版2021/11/23(火) 16:08:19.81ID:wCw4F2gj
洗濯機やテレビと同じで、半導体そのものはもう作ってもらう時代と割り切ってもいいかも。
10011001垢版Over 1000Thread
このスレッドは1000を超えました。
新しいスレッドを立ててください。
life time: 356日 14時間 47分 52秒
10021002垢版Over 1000Thread
5ちゃんねるの運営はプレミアム会員の皆さまに支えられています。
運営にご協力お願いいたします。


───────────────────
《プレミアム会員の主な特典》
★ 5ちゃんねる専用ブラウザからの広告除去
★ 5ちゃんねるの過去ログを取得
★ 書き込み規制の緩和
───────────────────

会員登録には個人情報は一切必要ありません。
月300円から匿名でご購入いただけます。

▼ プレミアム会員登録はこちら ▼
https://premium.5ch.net/

▼ 浪人ログインはこちら ▼
https://login.5ch.net/login.php
レス数が1000を超えています。これ以上書き込みはできません。

ニューススポーツなんでも実況