X



トップページ電気・電子
1002コメント286KB
【FPGA/CPLD】 XILINX/ALTERA/Lattice/Actel #25
レス数が1000を超えています。これ以上書き込みはできません。
0003774ワット発電中さん垢版2018/02/04(日) 06:14:25.15ID:MYZS1P6u
()))(((()()())(((((())(())))))(()(((())(())(((()()(())))((()))))(()())(())))
)))(()((())(()))()()()())()())))()))))())(())(())(((()(((())((()(()())((()((
()(()(((((()())()))))))))()()(()))())()))(()())(()((()))))()((()(()(((()((()
)()((()()))()()))())()()()(()()()(((((((((()(()()()()))((()()()))((())))))))
)(((())(()())(()()((()(())(()())(()((()(()(())(())(())((()()))))())()())))))
())))())()))())))))((((()((()(()(()((()))(())())()))(((())())(())()(()((()((
))()(())()()((((())))(()(())(()()(((()(((((()))))()())()()))))))()((()()))((
))))((((())(((())()())((()(()((((()(()())(())))())()(())())()()())(())()()))
))(((())()(()((()(()(())()(()(()))())()((()())(((()()(()))(()))((()())))))))
)()))))((((()))((()))(((()()))))))))()()(()((((()(()))(()()))(((((()())())((
()()))(((()))))))()(())((((((())()(()))()(()))())))(((())()((((()()(()))()()
)))(()()))(()())(()(()))(((())(()))(())))((())))(()(())))((()(()()(((()()()(
)())())))((()))((((((()))())(()))((()((((()()())(())(())))(()))())()))(()(((
)()))))))(()()()))(((()((((((()((()()((()()()(((())()(()))()))(()())))()))()
)))(((((()()(()(()((()(((())(())(()())))((()(((()))(())))))(()))))))(()(()))
())()()()())()())(()()((()((())(()))))()())))(()))((()(()()()))(()((())(()((
)((()(())))())))(((((()))))))((())()(((()))(((((())()())))()(()))((()(((()))
()())(()))(()(((()((((())))))()))((()(((((()))))))(()))))())(((((()(()())())
)())((()(())()())(()))(())(()(()()(()()()()(()((())((())))(((()))(()())))())
(()))))()())(((()((()(((()()(()(())(())(())((((()()))))(()()))))(()())(())))
()))()))(()))((())()()((((((((())()()((())(())((()))()(()())(()())()())())))
(())())))())))(((((((((()))(())))))(()))((((()))(()(())))(()(((((()())(())))
)))())())))()()(()))()((((()()())())))(())))(()()(()(())((()(()(())(())(((((
)((()((())((((((()))(((())))()())))))((()))))(()))((((())))))(((()()()(()))(
)()()(()((()(()))))((((())(((()())))))))((()(())))))(()()()())(((()(())))(((
(((())))()(()(((())()(()()))()()(()(((((())))((()(()()()))()(()))))()))))(()
())())))()))))()()()())()(()((()())(((())(())))(()(()())))((((((()(()))(()((
()()())()((()(())))))))))))()))(()(((())(()()(()))((())(()(((((())(()(())(()
()(())((((((()))))())()))))))(())()))((()()(())(((()((())()(()))((()))()()((
)(()((()))())())))))(())(((((())))()))()())()()((()()()()()((()())()(()((()(
)(()()((((())(())))((())()))((())())))()))()))(()(((((())(()))))()()(((()(()
())()())))))()(()(()))(((())((((((()())()))()()(()(()(()((()(()()))()())()))
))))))())())(()(((((())()(()(())))(()())()()()(()(()(((((()))))()()))(()()((
)))((())()()(())))((())()))))()((((()(())(()((())(((()((((()))(()))(()))()))
(())))))()()())))))((()((()(((())(())(((((((())()))()())())())()(())()(((())
((())(()))())(((()())())(())((())((()((()()()))()))(()(((((())())())()()))))
))())()()((((((((())())))((())()))))(()((())(())(())))((()()()(()()))()((())
)((((()))(()()))))(()(((()()(()())()))(())(()()()((()(()))(())((()()))(())))
()((())()))(())(()()()()))(((()()(((((())()))()))()))())))(((()(())(()(())()
)((())())(()())((()((()(())(()))))()(((((((((())())))))()()))(())())((()()))
))((((((()()()())))(()))((()))(()(()))()()()))(())))()((((())(()((((())))))(
)(()()((())())())(()(()((()(()(()(()(())))(())())))(((())))()())))))(((())((
(((((()))())(()((()()())(())())())()(())))(()(())())()))(()()))()(((())(())(
((((((()))())((())(()(()(()()((((())())()))(((()()()))(()))))))))((())())())
)()))))())))(((())()((())))()())(()()(())()())(()(()(()()((())()()()(()()(((
)(((((()())))((()()))(())))(())(()()())((()(())()())()((())((()))())(()()())
((())()(())()))((())())))()()((((()())(()())()()((()))(((()())))((()())())()
))((((())())(((((())))()))()((()((()))))())))()((((())(((()())))))))())(((((
)(()()))))(()((()()())))))()))))()())(((()(())(((()((()(((()))()((((()))()()
()())(()(((()()()(((()()()((())))))))()))()()()))))()(())()((())()())((((()(
0005774ワット発電中さん垢版2018/02/04(日) 12:51:26.93ID:woCACopV
Altera、Intel に変わってからすっかり魅力無くなった
宣伝文句だけは立派だがシケた製品ばっか、しかも高い

クソを高く売るIntel商法にすっかり染まってしまった。
0006774ワット発電中さん垢版2018/02/04(日) 13:57:05.03ID:cnb3J4Xk
だねぇ。。。覇気が無くなったというか
xilinxのライバル的な位置からずれてしまったから
業界のバランス崩れるってこの先心配

おっとここで禿がxilinxを買収というウルトラCをって
うそぴょん
0007774ワット発電中さん垢版2018/02/04(日) 16:04:53.90ID:2WIlH/R/
Xilinxってば、ISEをWindows10に対応って言ってたけど、すげえ塩対応。

マイクロコントローラを組み合わせてちょっと使うようなローエンド用途には
使いにくいメーカーになってきた。
0009774ワット発電中さん垢版2018/02/05(月) 08:13:25.72ID:fex0hLFa
使えないではなくて、使いにくい、です。

小さいマイコンボードにタイミング生成用に Coolrunner-II をわりかし使ってきたので。
新規設計はもちろんですが、改版するときに使うことを継続することも躊躇われます。
(Windows10で、旧ISEが動作することとは全く別の観点です)

WEBサイトを見ても、そういうデバイスはもう使ってほしくない、みたいな雰囲気が
漂ってきてますんで仕方がないですね。
0011774ワット発電中さん垢版2018/02/16(金) 15:27:04.01ID:c//kMg2V
>>5
x86の利益率ハードルが高すぎるから
低利益率ビジネスに耐えられないんだろうね
0012774ワット発電中さん垢版2018/02/19(月) 22:54:11.98ID:S4jdMVGt
alteraのは安い書き込み機がebayにあるけど
xilinxのは安いのないね パラレルは遅いしなち
0013774ワット発電中さん垢版2018/02/28(水) 20:41:47.64ID:RmAeI4AK
質問してもいいですか?

CQのMAX10を使ってFPGAを勉強しようというムックを見ながら勉強中です。
ムックではverilogを1ファイルに全部書いていますが、私は機能ごとに別ファイルにしています。
そのため、ムックでは階層が1つしかないのに対し、私のは複数階層になります。

modelsim alteraでシミュレーションすると、下位階層の信号のいくつかが常にHi-Zになります。
実際にFPGAに入れると意図した動作をするので回路自体はあってるんでしょうけど、
なんでシミュレーターでうまくいかないのかが分かりません。
おそらく、マクロで下位階層を表現する方法が間違ってるのでしょうけど
それ以上のことはググっても出てこないのです。

最上位(modelsim側のverilog):aaa
上位:hoge
下位:hage
下位の信号:hanage
とした場合、信号をグラフに表現するのに
add wave -hex -position end sim:/aaa/hoge/hage/hanage
としています。何か間違っていますか?
0015774ワット発電中さん垢版2018/03/03(土) 15:40:43.75ID:SRaFeCjz
今アキバなんだけどlattice系売ってる店無い?
今朝の十点でうっかり電源系諸共死亡させてしまったorz

MAXなら見かけたんだけど…
0018774ワット発電中さん垢版2018/03/03(土) 19:49:57.28ID:tcLIThB6
lattice探してた人は見つかったのだろうか?.マルツに無けりゃ本屋でデザインウェーブのバックナンバー探すか
スマホでdigikeyに頼んだ方が速いと思うけど
0020774ワット発電中さん垢版2018/03/05(月) 09:21:42.40ID:Y9WyrV3A
見つからなかった(´・ω・`)
>>18
店頭にはInterfaceの付録XILINXがあった…
マルツのオンライン注文だとDegiKey部品も買えるの一昨日帰った後に知った
しかし5日待ちらしい

自分用メモだけど誰か同じように困ってる人へ
オンラインマルツだとコンビニ払いでDigiKey部品買えるけど10%ぐらいマージン取られる
DigiKey直だと為替変動で1%-3%取られるしAli/EbayはPayPalにクレカでも2%-8%取られる
送料考えると大して変わらないので好きなところで買うか…
秋月はLX9あったけど高めなので…(MachX02は安いけどDiamondがあまりにレアなんでインストールする気にもならない)

続きは来週までお預けの予感
0022774ワット発電中さん垢版2018/03/05(月) 16:18:51.31ID:kH/JrXAh
大容量のMachXO2-7000買うなら
XP2-8買う方が良い、と言うなら納得出来る
0023774ワット発電中さん垢版2018/03/05(月) 16:29:04.83ID:9PyelDJ/
ちなみに、Digi-Keyでこんなの出てる↓
ttps://www.digikey.jp/ja/product-highlight/m/microsemi-soc/smartfusion2-maker-board

空きピンが汎用コネクタに出てないんで、使い方に制限あるけど
LEDとかESP32用のパターンから引き出したら、ある程度は何とかなるかも
0025774ワット発電中さん垢版2018/03/05(月) 17:55:33.16ID:9PyelDJ/
ちょっと高くなるけど、DDR2メモリとかADCのってて、Arduinoコネクタついてる
↓こういうのもある
ttps://www.microsemi.com/products/fpga-soc/design-resources/dev-kits/smartfusion2/smartfusion2-creative

まあ、このクラスだとTerasicのDE0-Nano-SoCとかDE10-Nano選ぶよって
なっちゃうんだろうけど
0027774ワット発電中さん垢版2018/03/05(月) 21:27:58.63ID:s25uS4sX
\3,853か
オモチャにするにはいいかも
ARMの部分は開発どうするんだろ
microsemiでサポートしてるのかな
0028774ワット発電中さん垢版2018/03/06(火) 00:20:19.07ID:MEBJyHWa
>>27
SoftConsoleというのにIDEとGCCコンパイラが入ってる
ttps://www.microsemi.com/products/fpga-soc/design-resources/design-software/softconsole

FPGA部分は触らなくてもプロセッサ部分だけで動かせると思うんだけど
Arduinoみたいに、とりあえずロードして動かせるサンプルとかないかもしれないから
敷居高いかも
0029774ワット発電中さん垢版2018/03/06(火) 10:05:43.20ID:3Di1dev6
ARM内蔵だと完成すればコンパクトなんだけど
独立して開発できないしファームは一体化してしまうから
ARM経由でFPGAのupdateもできないんで、予算等許せば
CPUは独立させたいな
ケースバイケースだが
0030774ワット発電中さん垢版2018/03/06(火) 10:58:44.00ID:gQK4WJW5
中華の台頭でARM-SOCがメチャクチャな値段だから
むしろ単品ARMを組み合わせた方が安上がりじゃないかと思うな

但し、
FPGA屋も、売りたいが為にARM内臓FPGAの方を安価に設定するとか
値段は、政治的なモノも絡むから一概には言えないが・・・
0031774ワット発電中さん垢版2018/03/06(火) 12:01:49.11ID:3Di1dev6
ディスコンも怖いので。
CPUとFPGAそれぞれ独立してれば変わったところだけの再設計で済むけど
CPUまで入ってると一蓮托生だし
なんだかんだいってCPUの方が製品寿命長い気がする
FPGA短いよ・・・
世代交代早いわりに互換パッケージが無くなるので怒っている
(BGAしかないなど)
0034774ワット発電中さん垢版2018/03/07(水) 18:52:05.30ID:fOLr1F1s
スレタイをMicrosemiに変えときゃよかったなんて悠長なこと言ってたらMicrochipに変わりやがった
SoftConsoleとMPLABの統合なんてことも起こるかもね
0036774ワット発電中さん垢版2018/03/07(水) 20:55:01.61ID:0w186Dfx
PICにFPGAみたいなの入ったら大化けするかも
CLCの拡張でPLDとかGALみたいなのになるとか
今のマイコン+αで
0037774ワット発電中さん垢版2018/03/07(水) 23:23:16.22ID:JeoKSOZ6
PIC程度のコントローラは、FPGA で組めてしまう。
 - Mach XO2 1200HC QFN32 (507円)
これで十分。
- iCE40 UP5K QFN48 (876円)
これだと RAM が 1Mbit + 120kbit あるし、8bit パソコン丸ごと入ってしまう。
0038774ワット発電中さん垢版2018/03/07(水) 23:40:39.07ID:Hf5lcJ9Q
>>35
ATMELのArmマイコンにプログラマブルロジックが入ってるね
8LUTとかそんな数だけど
0041774ワット発電中さん垢版2018/03/08(木) 00:08:05.69ID:18W/N+Ra
>>37
iCE40 UP5Kいいかなとおもったけど
digikey売り切れ
つうか、電源とレベル変換が大変そう
0042774ワット発電中さん垢版2018/03/08(木) 00:11:31.70ID:18W/N+Ra
ああ、mouserにあるのね
でもやっぱり電源と信号レベルとパッケージで
二の足ふむなぁ
0043774ワット発電中さん垢版2018/03/08(木) 00:34:04.64ID:m4d/nrMR
>>42
I/O は 3.3V いけるだろ。1.2V core は、3端子でいけると思うし。
ハンダづけさえ出来るようになれば。。。って俺も調べだしたところ。
0044774ワット発電中さん垢版2018/03/08(木) 00:48:00.59ID:p52WVkNl
底面パッドが不要で側面までパッドが伸びてるならなんとか手はんだできる
もし底面パッドが必要でも裏からでかいスルーホール作っとけばうまく行けばつく
0045774ワット発電中さん垢版2018/03/08(木) 01:23:10.99ID:wJnyR50r
>>35
記憶不確かだけど、10年(15年?)以上前に、AVRとFPGAが一緒に入った
デバイスをカタログにのせてたような気がする
その頃日立も、SHとFPGAの同居デバイス発表してたような気がするんだけど
今更考古学調査する気力ないっすw
0046774ワット発電中さん垢版2018/03/08(木) 02:02:50.02ID:W1bqqsyy
>>45
そのSHの方の記事を見つけたw
DesignWaveMagazine 2002年 1月号/2月号に前後編として記事が掲載されている。
プログラマブルロジック部はTriscend社の技術で4入力LUT+キャリーロジック+F/Fの
オーソドックスな物っぽい。
0049774ワット発電中さん垢版2018/03/08(木) 20:49:34.15ID:wJnyR50r
>>46
その後の続報情報って全くなかった気がするな
ずっと待ち続けたオレの青春返してくれ!(ウソ・・・w)
0050774ワット発電中さん垢版2018/03/12(月) 11:31:47.75ID:V4y1r6qO
久々にmicrosemiのLibero使おうと思ったら
GOLDが有料化 している だと!?
逆行だないまどき
0051774ワット発電中さん垢版2018/03/13(火) 12:08:05.04ID:90f9nJid
‪FPGA 関係の方へ‬
‪現在、東芝情報システムが 大々的に求人を募集しています。‬
0052774ワット発電中さん垢版2018/03/15(木) 11:53:35.76ID:8+RfXAsX
dipのGAL16V8とか、そんなやつをいまさらですが使おうと思っています
この設計をしようと思ったら、どのツールを使えばいいのでしょうか。
ispLEVERclassic? (2015)なのでしょうか
GAL使いたいだけなのに、このツール900MByteもあって躊躇しています
言語はABELでもなんでもいいんですが
0054774ワット発電中さん垢版2018/03/15(木) 20:27:18.49ID:vLqQ2KCi
HDDの中を探したらDOS版のABEL2.1が入ってた。
これってWin7のコマンドプロンプトで動くのかな。
0061774ワット発電中さん垢版2018/04/07(土) 14:05:18.92ID:RxkkiM5o
久々にちょっとした機器作ろうとしていて、PICを使うんだが、どうしても00/86/257がいるんだ。
gal/palceならゴロゴロしているが、ツールどうしよう?
ライターはあります。
0064774ワット発電中さん垢版2018/04/07(土) 23:31:05.21ID:ymH+Uhtn
マイコン婆
0067774ワット発電中さん垢版2018/04/08(日) 08:52:35.16ID:r9HoWyO3
PICに組み合わせて標準ロジックを並べる代わりにプログラマブルロジックに置き換えたいってことなのかな。

でも消費電力を考えたらバランスが悪いね。電池駆動でないにしても。
0068774ワット発電中さん垢版2018/04/08(日) 08:53:56.25ID:7SexWn0Y
いまは80LEのMAXVが150円で買えるから趣味ならGALみたいな古いのは使わないで保存するか捨てよう

MAX10で2000LEが1000円って安くなった
0069774ワット発電中さん垢版2018/04/08(日) 09:03:05.26ID:r9HoWyO3
でも、もし、そのPICが5V駆動だとけっこう迷う。
俺は、最近は3.3V以下のものを手掛けることがほとんどだから、5V系は疎いのだけど、何が残ってるのだろう。
小規模デバイスだとMAX7000、9500シリーズ、Latticeの4000あたり?
0071774ワット発電中さん垢版2018/04/08(日) 09:11:25.95ID:TEhYw/jr
車載ECUユニットを外部ROM化するにあたって
ポート拡張用の
CPLDの5V対応で大分悩んだ。

CPUの下駄で躓いてそのままになってるが。
0072774ワット発電中さん垢版2018/04/08(日) 09:15:59.55ID:28MtBeRd
>>63
PALASMとABLEに頼っていたのでJEDECの仕様には興味無く過ぎ去ってしまったのです。
手元のPALASM4のフロッピーディスクもたぶんDOSエクステンダ版な気がしてXPモードでインストールできないかも?
明日試します。
0073774ワット発電中さん垢版2018/04/08(日) 09:21:21.64ID:28MtBeRd
>>69
そうなんです。
5V駆動で出来るだけ配線数を減らしたいのです。
サンプルを20個程度手配線で作って、良ければ商品化て小さな基板にする予定です。
なので少ないピン数のDIP部品で済ませたいのです。
0074774ワット発電中さん垢版2018/04/08(日) 09:23:38.45ID:r9HoWyO3
>>71
5Vの電源で使えるCPLDが減ってきて、入手しやすいのは3.3V電源で5Vトレラントだったり。
CPUをはじめとする他のデバイスがTTL入力なら、3.3V出力のCPLDでもなんとかなるのですが、5V C-MOSレベルだといろいろ面倒ですね。
最近のPICは、入力レベルをTTLに設定できるのがありますが。
0075774ワット発電中さん垢版2018/04/08(日) 09:26:37.75ID:r9HoWyO3
>>74
あまり古いデバイスを使うと、あとあと製造するときに困るような。

スレ的には外れるのですが、少しのロジックで済むなら、PICのCLCで吸収できるところはないですかね…
257は微妙ですが。
0076774ワット発電中さん垢版2018/04/08(日) 09:49:53.16ID:28MtBeRd
やりたいことは他の機器のシリアルの無通信期間中に割り込んで別のシリアルを流したり、ぶんどって改変したフレームを送るのです。
厄介なことに半二重通信でマスターが送信したら即スレーブが返信しないとエラーになるのでマスターのフレームを受信してソフトで改変して送信やっている時間がないので、マスターのフレームを傍受しながらシリアルの線を257で振り分けて操作するのです。
257も1/4しか使わないし、反転するかしないかの86も1/4で00含めて3チップ構成なんです。
基板はユニバーサル部分がる便利な秋月のPIC18F46K22搭載のです。
0077774ワット発電中さん垢版2018/04/08(日) 11:33:39.18ID:1Z9UvkuV
>>76
何をやるのか理解する気もないけど、
ゲートを工夫するなりワンゲートのIC使うなりすれば普通に作れるだろ。
0080774ワット発電中さん垢版2018/04/08(日) 16:44:01.95ID:FvFDbMeM
>>76
シリアル改変は、間に挟めばいいだけじゃ。

CPLDだろうがFPGAだろうがソフトだろうが、一週間でできないとクソ扱いされるのがクソ
0081774ワット発電中さん垢版2018/04/08(日) 17:12:11.99ID:28MtBeRd
>>80
一応出来ているので残りの19台のやりくりで悩んでいる。
また、変換では駄目なケースです。
半二重でマスターはスレーブの回答を3char時間内に返さないとアウトです。
最初、PICの2個のUSRTでマスターとスレーブの通信をリレーしようと取り組みましたが、下り上りでUARTの時間損失で不安定となり、スヌープ方式に切り替えたのです。
0085774ワット発電中さん垢版2018/04/09(月) 06:11:49.05ID:tPP879KL
いま再稼働している全国の原発で
故障やトラブルが続発している。
だいたい償却期間が16年の
原発を40年から50年も使おうと
しているのが大間違い。
車で考えたらわかるだろう。
50年前の老朽車で高速道路を
ぶっ飛ばすのと同じ。
事故るのは目に見えている。
0088774ワット発電中さん垢版2018/04/09(月) 11:28:36.57ID:iEcT/L8h
原発で FPGA は使われるのだろうか?
「Lattice Semiconductorの超低密度FPGAにはiCE40およびMachXO2シリーズが含まれ」なんてのを見たんで気になったり。
0089774ワット発電中さん垢版2018/04/09(月) 12:47:50.30ID:Wy4gp16a
>>85
なら新車は、津波にさらわれても大丈夫なのか?
木っ端微塵だったじゃないか
この嘘吐きヤロウが

攻められるべきは
定期的に自然災害食らってきた国なのに、それに対する対処がお粗末だった事だろ
なんだよ、想定外とか
0092774ワット発電中さん垢版2018/04/11(水) 19:24:58.82ID:5RRNIjdG
放射線は痛いよね
ってF35に乗ってるCPUはPower PC G4ベースだとさ
あれでいいならFPGAもなんとかなりそうなもんだけど
0093774ワット発電中さん垢版2018/04/11(水) 22:13:06.81ID:7TZ2g1+8
軍事/宇宙航空グレードのFPGAってあるから何かには使ってるんだろう
0095774ワット発電中さん垢版2018/04/12(木) 00:49:20.00ID:mKu4DB1r
マイクロセミはゼロFITを謳っているし航空宇宙用には相性良さそう
0096774ワット発電中さん垢版2018/04/13(金) 23:02:22.80ID:WAmricC5
「MachXO3-9400 開発ボード」っていうの見つけた。(Digi-Keyで4,455円)
ttp://www.latticesemi.com/ja-JP/Products/DevelopmentBoardsAndKits/MachXO39400DevBoard
今在庫ないみたいだけど、ラズパイとすぐ接続できるFPGAボードとしては安値かも
0097774ワット発電中さん垢版2018/04/14(土) 19:56:27.03ID:Pse3g9Ae
32Mb SDRAM Xilinx FPGA board XC6SLX16
というのが\3000くらいであるよ
SPARTAN-6だからツールがvivadoダメでISEだけど
まあ、入門とか勉強するには手ごろかな
0098774ワット発電中さん垢版2018/04/14(土) 20:54:49.94ID:TgLaytL2
安いね
中華が手を出す前は(3〜4年前)、安くても1万チョイだったのに
US $22.79だって、凄いな
0100774ワット発電中さん垢版2018/04/15(日) 13:02:05.89ID:gtNWIpDy
MAX10(10M08SAE144)を購入して、Elecrowで基板製作して
LEDチカ動作中
MAX10のキットとか売っているけど、あまり情報がないですね
アルテラはザイリンクスよりあまり人気ないのですかね・・
0101774ワット発電中さん垢版2018/04/15(日) 14:16:11.30ID:ASHNZaIX
手元にあるよ、TerasicのDE10-Lite Board
LE=50Kで$85、と安かったから買ってみた

但し、MAX10売りのFlash内蔵&ADCに惹かれたからじゃない
単にゲート規模の割りに安かったから

この手の人が多いんじゃないのかな
0102774ワット発電中さん垢版2018/04/15(日) 14:55:21.54ID:gtNWIpDy
>>101
そうですか・・。有難うございます。
ALTERA USB Blaster互換JTagケーブル(¥2,000弱)からQuatus Primeで
書き込みしてみましたが特に問題な、くLVDSを使わなければ電源供給は
3.3Vで良いし、EEPROMなしで書き込めるいいデバイスだと思っていたので
それなりに情報は集まりやすいと思ってました。
ザイリンクスに押されギミですね
0104774ワット発電中さん垢版2018/04/16(月) 16:10:10.44ID:Fz41VKL2
ひょっとしてMAXシリーズも次世代にするついでに値上げしちゃうのかな?
もしかしてMAX10がお安く買えるのはそれまでだったりして

ところでPYNQ-Z1ってなんでDigilent直販だけなんだ?
Fedexから消費税の請求書が後で送られてくるとか勘弁してほしいんだけどw
0105774ワット発電中さん垢版2018/04/16(月) 16:13:14.29ID:VJaOLcQF
基板書いて、単品デバイス買って、コンフィグツールそろえて・・・
残念ながら、これ普通に売ってる評価ボード買うより 遥かに高くつからな
(二の足踏んで、QFPを選びたくなる誘惑も理解できる)
それなりの明確な目的が無いと、ここまでやろうとする人は多くないと思うよ

んで、評価ボードの類はと言うと、 CC-ROM/Config-IF この二つはオンボードが標準
こうなると、MAXである事の利点は、ほぼ消えてしまう

個人的には、Xiのツールが気に入らなくてAlteraの方に変えた口の方だけど
Max10/Cyclone、実際使ってみて大差無いね
選択は、SD-RAM、I/O種類/数 を含めたコスパだな
0106774ワット発電中さん垢版2018/04/17(火) 21:50:47.31ID:D3xKDnuV
>>105
これ趣味の話だろ?だったらいろんな考え方があると思うが。

俺なんかは、評価ボードで配線するの嫌だから基板を起こすことを考えてしまう。
今は、ハンダ付け可能(QFN48)で、内蔵RAM があるiCE40 UP5K 一択かなという感じ。
コンフィグツールは、オープンソースのものがあって、ARM の SBC からも利用できるのも iCE40 の利点。

これで出来ないことはやらない。趣味だし。
0107774ワット発電中さん垢版2018/04/20(金) 11:44:32.19ID:zFocEf4W
32Bitポートを一斉に
Hi, LoするRTLを作ったのですが
あるポートのいくつかは
Hi固定になってます
何か設定ミスでしょうか?

使用デバイス↓
MAX10(10M08SAE144)
0109774ワット発電中さん垢版2018/04/20(金) 12:28:17.52ID:zFocEf4W
自己解決しました
0111774ワット発電中さん垢版2018/04/26(木) 10:17:31.37ID:C2+0wiKf
>>109
どう解決したの?
0112774ワット発電中さん垢版2018/04/26(木) 11:25:01.66ID:a0le7vcc
自己解決したやつってのは誰にも手間かけてないと思ってるから
あとは何も気にかけずに去っていくもんだよ
0115774ワット発電中さん垢版2018/04/27(金) 00:23:01.34ID:H1H9oGEC
>>114
レスがあったかどうかじゃなく手間をかけたかどうかだよ。
誰かが調べてみたけど判明しなかったかもしれないことへの礼儀としてね。
0116774ワット発電中さん垢版2018/04/27(金) 06:46:44.19ID:K6Mt4G/8
俺は回答側だが、ちょっと調べてみたけど情報見つけられなかったてのはよくある。
だから、質問者が答見つけたなら、内容を書いてもらうとありがたいんだよ。
0119774ワット発電中さん垢版2018/04/28(土) 20:01:05.32ID:hgc8/1Qt
VHDL-2008使えないのは個人的に痛い
けど、会社の人みんなVHDL93以降の文法を知らないから影響ないかも
(VHDL-2008の文法を使うと周りが理解できないから怒られる)
0121774ワット発電中さん垢版2018/04/30(月) 13:31:39.48ID:J8b9X/iH
>>26
Diamondとか、大御所に比べてむしろLight weightな開発環境だから個人的にはハードル低いけどな。。
0123774ワット発電中さん垢版2018/05/03(木) 05:36:12.56ID:dcaw7pGU
募集なう
http://www.hdlab.co.jp/web/x040recruit/

ここは請負で、自分で完結できる人を募集なう

社長さんは業界の有名人でスキルは高いけど、最近の用語が少し怪しいのでそれを出すとスキルの低い人と見られるので注意しよう
0124774ワット発電中さん垢版2018/05/03(木) 14:44:15.15ID:lnozlwH3
>>122
社長さんは技術っぽく思えるような印象のHPですが、おそらく派遣営業を経験しており何かがあって起業した雰囲気
0126774ワット発電中さん垢版2018/05/06(日) 21:11:00.15ID:sEG8UCG5
つうか、ろくな転職先なくね?
0128774ワット発電中さん垢版2018/05/07(月) 07:48:16.52ID:fDIlqzi0
「FPGA 出来て、基板設計出来て、
アナログも分かって、マネージメントも出来る」
そんな人を○百万円とか舐めてるよな。
0131774ワット発電中さん垢版2018/05/08(火) 11:41:39.00ID:RmLVxDUn
どこでもドア。

納期3ヶ月 営業が優秀で検収上げちゃったので
よろしく!
0135774ワット発電中さん垢版2018/05/08(火) 15:01:38.85ID:EXXCGrHQ
蓋を開けたら実現不能っぽい納期と仕様。
営業がどうやったか分からないが
検収済み案件だったという、、、
0140774ワット発電中さん垢版2018/05/08(火) 21:11:28.17ID:qiUVQikh
結局何もせず
上から引き継がされた取引先の担当者が責任を取らされ飛ばされた。という話で終わっている。
0141774ワット発電中さん垢版2018/05/09(水) 22:41:19.42ID:ai9WLdIu
今はもうないBeMicro CV A9ってLE300Kで$169とかwあれ持ってる人いる?
0143774ワット発電中さん垢版2018/05/10(木) 08:12:17.55ID:j5afOv+4
A9 無しの"BeMicro CV" なら持ってる

特に安いわけでも無かったから、A9 買ったヤツは少ないだろな
0147774ワット発電中さん垢版2018/05/18(金) 11:09:12.36ID:n03tp6pI
intelのそれ、どういうツールか読んでないけど、FPGAの知識無くても使えるそうな
ますます高レベルからの合成になっているんだな
きっと
0150774ワット発電中さん垢版2018/05/18(金) 18:36:08.50ID:n03tp6pI
基本的にバスに繋がったコプロ的な用途だから
逆にあまり自由度が無いかもしれない
というか、高度なシステム知識が要求されるだろうな
自在にいじろうとすると
汎用のラッパーでもあるといいんだけどね
0152774ワット発電中さん垢版2018/05/18(金) 21:55:29.54ID:l04surjj
寺買収の成果物がこれか。

楽しみだね
0153774ワット発電中さん垢版2018/05/19(土) 10:29:49.66ID:qGroutuI
ソフトの検証項目にタイミング(セットアップ、ホールド等)が加わる?
タイミングが検証できてないソフトが流通しややこしいことにならないか心配。
0155774ワット発電中さん垢版2018/05/19(土) 12:33:35.11ID:9iR51TID
FPGAはCPU間インタフェースのUPIにぶら下がってて、
キャッシュ内のデータにアクセスできたりするから、
PCIにつながるより自由度とバンド幅はものすごく高い。
誰がそんなものを設計できるかは、大きな問題だけどね。
0156774ワット発電中さん垢版2018/05/19(土) 12:35:46.35ID:9iR51TID
ただ、IntelのFPGA熱はずいぶん冷めちゃったみたいで、
今後どうなるかわかんないな。
0157774ワット発電中さん垢版2018/05/21(月) 09:32:32.17ID:+YPLsCwK
ユニークで個性的な確実稼げるガイダンス
暇な人は見てみるといいかもしれません
グーグルで検索するといいかも『ネットで稼ぐ方法 モニアレフヌノ』

J79T9
0158774ワット発電中さん垢版2018/05/29(火) 07:37:00.46ID:tRqwgkjz
>>128
某大手のM電機は派遣を三百万円で調達する
価値を分かってない営業は安値で提供する
0160774ワット発電中さん垢版2018/05/31(木) 01:42:36.90ID:PO8e30Qu
>>159
そうなってくれたらいいし、そうなるべきだと思う
デリヘルのドライバー募集だって、日給1万5千円とかってあるよ
え?そんなの絶対ブラックだって?
じゃ電気・電子の派遣はブラックじゃないのかよw
0161774ワット発電中さん垢版2018/05/31(木) 11:13:01.86ID:edoCKsdn
派遣もピンキリだからな
手が足りなくて頼んだ時に来た奴は
符号拡張も知らないやつで参った
0162774ワット発電中さん垢版2018/05/31(木) 12:49:31.19ID:mcN7Ukk/
今回の派遣法改正案で
同一労働同一法準拠のため
派遣先と派遣元に、正社員との処遇の差の説明義務()が出来るよw
0166774ワット発電中さん垢版2018/05/31(木) 14:01:23.26ID:mcN7Ukk/
なんという会社名なのか教えて欲しいな、派遣先として真っ先に行かないから
0167774ワット発電中さん垢版2018/05/31(木) 14:05:39.15ID:edoCKsdn
試しに使って見てくれでお試しだったんだよ
で、駄目だから切ったそれだけの事
0169774ワット発電中さん垢版2018/05/31(木) 14:12:20.66ID:tTIv90of
いいな、お茶汲み。
入れてもらうのもいいし、自分で入れるのもいい。
ティーセット揃えて、ケーキ買いにも行くよ!
0174774ワット発電中さん垢版2018/06/01(金) 00:39:51.09ID:v40cTul9
もう十年ほど前の話になりますが、
dwm2007/7付録基板に書き込めなくて七転八倒したんですが
最近確認しなおしたら単にconfig romのハンダ不良でした・・・
0183774ワット発電中さん垢版2018/06/01(金) 14:08:00.36ID:oQyw+ele
売れそう
0184774ワット発電中さん垢版2018/06/04(月) 00:52:58.35ID:sg3wPyx+
Cyclone V Starter Kit を所有しているのですが、
CLk 50MHzからPLLで4逓倍して200MHzを作りたいのですが、
ロジックアナライザーで確認したところ150MHzまでしか動きません
PLLで出せる周波数の限界なのでしょうか?
0185774ワット発電中さん垢版2018/06/04(月) 01:11:20.00ID:Uo9QV21D
「ロジックアナライザーで確認」というのは、ピンに出力して確認した、
ということでしょうか?
帯域の十分あるオシロで見て見たらどうですか?
0186774ワット発電中さん垢版2018/06/04(月) 01:16:11.12ID:sg3wPyx+
>>185
50MHz -> 3逓倍した150MHzのCLKをモニター用として
2000分の一に分周した端子をロジアナで観測してます。
150MHz以下であれば出力されているのですが
ATLPLLを200MHzとかにすると、出力されなくなります。
0188774ワット発電中さん垢版2018/06/04(月) 01:31:27.52ID:Uo9QV21D
altera 使いじゃないんで、今すぐに試してみることできなので
エスパー回答ですが、「2000分の一に分周」するカウンターが
200MHzで動作できてないんじゃないかな?

クロック周波数 constraints はつけてます?
0189774ワット発電中さん垢版2018/06/04(月) 07:29:35.90ID:meh1+dDG
とりあえず1/8ぐらいの分周で確認してみたら。
0190774ワット発電中さん垢版2018/06/04(月) 08:05:44.00ID:sg3wPyx+
ブロック図です
https://i.imgur.com/ETblqjY.jpg

>「2000分の一に分周」するカウンターが
>200MHzで動作できてないんじゃないかな?
Cyclone GXのハード限界なのですかね???

>クロック周波数 constraints はつけてます?
つけておりません
0192774ワット発電中さん垢版2018/06/04(月) 09:24:29.82ID:J8QRux8z
>>191

なんで、FPGA内部で

『分周』してモニター端子で

みてるのです
0193774ワット発電中さん垢版2018/06/04(月) 11:13:25.25ID:ndqJbO1/
>>Cyclone GXのハード限界なのですかね???
データシートくらい読めよ
0194774ワット発電中さん垢版2018/06/04(月) 11:19:56.46ID:J8QRux8z
とっくに読んだが資料には
700MHzまでと書いてある
でも出ないから困ってます
0197774ワット発電中さん垢版2018/06/04(月) 12:07:52.82ID:z8W9ZNJ4
>>190
200MHz でクロック制約かけてから
とりあえずレイアウト後のタイミング見てみたら?

2000回に1パルスとかじゃないよね?
0198774ワット発電中さん垢版2018/06/04(月) 17:08:07.98ID:Uo9QV21D
>>197
>2000回に1パルス
100Mspsのロジアナで見てるということだからこの可能性ありそう
0199774ワット発電中さん垢版2018/06/04(月) 17:55:16.41ID:sg3wPyx+
>100Msps
なんでここにこだわっているのか、わかりませんが。
モニター用に、分周した端子を観測してます
自分はアナログ回路設計専門ですが、VerilogやFPGAは初めてなもんで・・。

↓ 50MHz CLK をPLLで100MHz/150MHz/200MHz に逓倍し、
そのCLKを分周した時の波形です。

100MHz/150MHz設定時とその波形
https://i.imgur.com/K2BEJu1.jpg
200MHz設定時とその波形 (波形がおかしい)
https://i.imgur.com/7HljhfI.jpg
0200774ワット発電中さん垢版2018/06/04(月) 18:30:15.23ID:meh1+dDG
>>199
>なんでここにこだわっているのか、わかりませんが。
「分周」という言葉がデューティ50%を意味するわけでもなく、
2000回に1回のパルスであることも考えられるからです。

それにしても奇妙な波形ですね。

分周はどんなしくみで作られました?

Cyclone GX は使ったことがないのですが。
0201774ワット発電中さん垢版2018/06/04(月) 18:47:28.71ID:sg3wPyx+
>>200
>分周はどんなしくみで作られました?

ただのカウンターをVerilogでつくりました。
100MHz/150MHzの分周はうまくいっているので、問題ないかと

因みに、>>199の波形は、ATL-PLLで100MHz/150MHz/200MHz
に設定をただただ変えて、ロジアナで観測しただけの比較であり
Verilogソースの方は全く触っておりません。

あと、なぜかわかりませんが最新のQuatus Prime v18.0だと
PLLが100MHzでもまったく動きません。
v17.1で検証しております。
MegaWizard のバグでしょうか
0202774ワット発電中さん垢版2018/06/04(月) 18:48:58.84ID:sg3wPyx+
>それにしても奇妙な波形ですね。

PLLが暴走しているように見えました。
0203774ワット発電中さん垢版2018/06/04(月) 18:49:01.28ID:z8W9ZNJ4
位相ずれでうなってるようにも見えるけど。
で、何でタイミング制約かけないの?
0204774ワット発電中さん垢版2018/06/04(月) 18:53:42.51ID:bnhAY9W2
>>199
周波数自体を遅くして観測するならdutyは50%がいいと思う。(Hi期間とLo期間の幅が同じ。)

具体的には2分周回路を複数段重ねてもいいと思う。
(クロックが複数になるのが嫌ならカウンタでかぞえてもいいけど)
0205774ワット発電中さん垢版2018/06/04(月) 18:54:15.16ID:Uo9QV21D
クロック周波数上げたら正常に動作しなくなる、ということだから
やっぱり「分周回路が200MHzで動作できてない」だけかな
>>194で「700MHzまでと書いてある」と言ってるけど、これ
Logic CellのFFの最大動作周波数ですよね?
11bitのカウンタとかだと記述次第かつ何もしないと200MHzまで届かないことはあるかも

クロック周波数 constraints は簡単に付けられるというか、もしかしたら
PLL設定したら(50MHzを設定すると思うので)自動的についてるかも。
ついてなくても、配置配線後のレポートには何か動作周波数についての
情報がのってるはずですが見てみました?
0206774ワット発電中さん垢版2018/06/04(月) 18:59:57.55ID:Uo9QV21D
あと
PLLの動作を確認するとしたら、8分周程度の簡単な回路にして
やって見たらどうですか?
0207774ワット発電中さん垢版2018/06/04(月) 19:08:26.13ID:sg3wPyx+
皆様、いろいろアドバイス・ご指摘有難うございます。
修正してみます。
0209774ワット発電中さん垢版2018/06/04(月) 19:39:56.12ID:ndqJbO1/
timingレポートの要所位貼ればいいのに
皆目見当つかないんだろうな
0210774ワット発電中さん垢版2018/06/04(月) 19:53:16.95ID:z8W9ZNJ4
2048分周なら簡単だったのに。
2000分周だと、1000回1回トグルだよね。
0211774ワット発電中さん垢版2018/06/04(月) 19:54:15.90ID:z8W9ZNJ4
まあ、メーカーに問い合わせるらしいから
何か分かったら書き込んでくれ。
0213774ワット発電中さん垢版2018/06/04(月) 20:04:20.43ID:iGv0in5r
間に合ってないんでしょ
1/2000とか半端な数の分周してるから、1/2^n に比べて余分な回路(比較器 & セレクタ)が入る
当然その分だけ動作上限周波数は下がる

モニタが目的なら、1/2^n でも十分なハズだよな
0216774ワット発電中さん垢版2018/06/04(月) 20:24:41.85ID:sg3wPyx+
>>205
>>206
有難うございます。
分周を1/256にしました

>11bitのカウンタとかだと記述次第かつ何もしないと200MHzまで届かないことはあるかも

↓ おっしゃる通りでした。 FFが間に合ってませんでした
https://i.imgur.com/PRB1NHM.jpg
0218774ワット発電中さん垢版2018/06/05(火) 09:05:30.48ID:FUihwpqQ
ピンクについての質問ってここでいいのかな。
(BNNをコンパイルからしたいのだけど上手く行かない。上手くいっている人環境教えて。)
0221774ワット発電中さん垢版2018/06/05(火) 18:22:07.47ID:Hs4G6qh6
根本的な解決ではないですが、pynq(ピンク)のBNNのコンパイル(合成)の件、実行するPCを変えたら上手く行きました。
一発でタイミングもメットしました。
お騒がせしました。
0229774ワット発電中さん垢版2018/06/14(木) 15:06:41.25ID:ai/NECuP
右も左もわからん初心者やが、VHDLとverilogって結局どっちの方がお得ですかね
0230774ワット発電中さん垢版2018/06/14(木) 15:17:30.44ID:KJoqWo4v
だんだんOpenCoresの魔改造を生業となり、
VHDLとverilogが混在したフランケンがでける
0231774ワット発電中さん垢版2018/06/14(木) 17:15:37.96ID:KbYletTy
VerilogHDLの勉強のためにちょっとしたFPGAボードを買いたいのですが、安価で初心者向けのおすすめを教えてください
できるなら開発環境もフリーでいけるものがいいです
完全に初心者質問ですみません
0234774ワット発電中さん垢版2018/06/14(木) 20:21:54.33ID:g8zda/WV
>>231
icarus verilog でシミュレーション。なにか作れるような気になってから開発ボード

Lattice のボードは安いし、論理合成ツールのサイズが小さめ。
 - LCMXO3L-6900C-S-EVN 3242円@マルツ (Digikey 取り寄せ)

最初は、これで十分だと思う。
0236774ワット発電中さん垢版2018/06/15(金) 00:02:09.65ID:AuslBokk
>>235
どっちも慣れちゃえばって感じなんだろうけど、取り敢えず滑り出しが良さそうなverilogからやってみることにします
0237774ワット発電中さん垢版2018/06/15(金) 00:53:08.95ID:TQN6q1aJ
>>232
>>233
ありがとうございます.
見てみたらスイッチや7セグ,IOピンが多くついていてなかなかお得感があっていい感じでした.検討してみます.
>>234
シミュレーションがコンピュータでできるのは初耳でした!早速入れてみました
ボードもかなり安くて手が出しやすそうです,ありがとうございます
0238774ワット発電中さん垢版2018/06/15(金) 07:52:23.51ID:ElaqikfY
何で、リクナビで「QuEST Global Services Pte. Ltd.」という派遣会社を通じて、ソニー半導体で働く人を募集しているの?
0239774ワット発電中さん垢版2018/06/15(金) 08:00:33.49ID:ElaqikfY
>>238
著しい中小企業レベルの待遇で、最高収益を上げたソニー半導体企業で奴隷のように働かせる差別的待遇を、同一労働同一賃金の観点から説明をソニー社員の方から文書で頂かないと働きません。他をあたってください
0240774ワット発電中さん垢版2018/06/15(金) 08:12:08.57ID:ElaqikfY
>>239
朝から5chで、オレ様を正社員で採用せず、派遣で格安の給与で採用しようとするソニーという企業に一人労働闘争を5chでするだけの簡単なお仕事。
0241774ワット発電中さん垢版2018/06/15(金) 13:40:43.45ID:mBrSPLD9
VHDLは厳密、とか言うがウソ臭い
signal が推論で reg になったり wire なったり、これのどこが厳密だよって感じ
そのくせ、コード記述に助長が多くて、同じ機能をコーディングすると Verilog の2倍くらいに増える

仕事で言語を指定されるような場合ならやむを得ないが
それ以外なら、敢えて VHDLを選ぶ理由は無いね
0244774ワット発電中さん垢版2018/06/15(金) 20:08:53.64ID:VqevouD3
VHDLはAdaの目指した崇高な高みの
ロマンの片鱗を感じられることに意義がある言語だと思うの
0245774ワット発電中さん垢版2018/06/15(金) 23:30:42.30ID:APymgLZH
会社「大規模プロジェクトでVerilogなんてあり得ない!!」

Sun「Verilogで64bit SPARC作った」
0246774ワット発電中さん垢版2018/06/16(土) 20:56:23.08ID:qds5ihYa
>>241
Verilog も reg が wire になったりするよ。
always の中には reg しか書けないからしょうがないんだけど、
そもそも always の中に wire を書いちゃいけない意味がわからない。
0247774ワット発電中さん垢版2018/06/16(土) 21:00:57.95ID:PmL2C8sZ
>>246
VHDLは(Verilogより)厳密というのはおかしいという話をしてるんだから
Verilogもそこは一緒ってのは意味が分からんぞ
0252774ワット発電中さん垢版2018/06/17(日) 10:42:26.14ID:0MoQinLb
>>251
いや、コンピュータの基本的なフィリップフロップすら理解できず。コンピュータ言語のような感覚で記述すればいいと思っている輩はごまんといるので、バカにすることはアタマが悪いと思う
0253774ワット発電中さん垢版2018/06/17(日) 12:05:00.50ID:x2qHeZRI
個人的にはreg = FFの出力って
いうのがわかりやすいと思うけど
always文ではFFが生成されるされない
関係なくregなんだよね。
0257774ワット発電中さん垢版2018/06/17(日) 16:48:12.39ID:mPX8pKbw
>>253
always では必ず FF が生成されるが、状態が変化しない FF は 最適化で wire になるってだけの話だろ。

なにか不合理だと思ってるのかも知れないが、まず FF ありき。それを組み合わせ回路でつないでいく。
そういうものだと納得しなければならない。
0259774ワット発電中さん垢版2018/06/17(日) 20:39:59.35ID:CI2y7e+i
ネットには自分の判断に絶対の自信を持って話を切り捨てる奴が多いよな
0261774ワット発電中さん垢版2018/06/17(日) 22:33:23.86ID:AfiKk4uS
>>255
ロジック回路の設計じゃなければ
何を設計するんだよ?

ロジックの反対はレトリックかな?
0263774ワット発電中さん垢版2018/06/18(月) 08:44:34.76ID:lthwaMes
会社で教えられた通りの書き方しか知らないから >>257 な恥ずかしい事を堂々と言えるんだろうな
0264774ワット発電中さん垢版2018/06/20(水) 18:28:12.70ID:KibWGbIT
継続的代入と手続的代入
ブロッキングとノンブロッキング
これらの違いくらい理解して下さい
0265774ワット発電中さん垢版2018/06/20(水) 18:47:07.32ID:jRAjzOpS
クロックに掛かるか否かじゃね
最近のツールは警告してくれるが
0266774ワット発電中さん垢版2018/06/24(日) 15:02:44.15ID:667JGAiW
>>261を10年後に読んだ人から都市伝説が広がるとはその場にいた誰が想像しただろうか?w
ということでいちおうマジレス
ロジック・プロセスの対義語は(DRAMとかの)メモリ・プロセス
(ただしSRAMだけはロジック)
0267774ワット発電中さん垢版2018/06/24(日) 17:03:32.52ID:7ud+1Wfz
>>266
ロジック回路 ≠ ロジックプロセス

>>261 のロジック回路が組み合わせ回路を意味してるようなので
対比すべきは状態遷移回路あたりかね。

一つの言葉の対比語は複数あると言うこと。
0268774ワット発電中さん垢版2018/06/24(日) 23:32:27.33ID:mSsJVflo
状態遷移回路なんて言葉も聞いたことないけど、
順序回路がロジックではないと言うのもおかしいな。
0269774ワット発電中さん垢版2018/06/25(月) 03:18:22.00ID:pCNA42yy
ハードウェア分野でたまに低レベルな議論になっちゃうのは仕方ないよね
0270774ワット発電中さん垢版2018/06/25(月) 11:27:27.80ID:49qT5dXQ
262の脳内のロジックをそのまま使えばおk
0272774ワット発電中さん垢版2018/06/25(月) 16:43:28.13ID:8GUP4vw5
ファジー で 非同期 ですか
一見不安定そうな文字列だらけなんですが^_^
0274774ワット発電中さん垢版2018/06/26(火) 21:44:48.56ID:LdPcjdHb
レベル(抽象度)の低い分野だというなら具体的な議論をしないと…
ファジーAI非同期回路なんて低レベルらしくない
0276774ワット発電中さん垢版2018/06/27(水) 10:35:04.55ID:m7y1cztS
高位合成ってやっぱハード規模的に効率悪い?
それでも開発期間とのトレードオフで
一品物とかはそのまま使ったりするのかな
0277774ワット発電中さん垢版2018/06/27(水) 16:07:24.00ID:Fmg5tVmu
生成される回路がある程度想像できるのなら問題無いと思う。

想像できないなら空想。
0278774ワット発電中さん垢版2018/06/27(水) 17:09:44.81ID:S85LQQlp
>>276
当然ハードの利用効率は悪い
今のところプログラムは職人には勝てない

当然開発効率を考えて選択する場合もある
0283774ワット発電中さん垢版2018/06/28(木) 00:04:27.42ID:WsYmkx+Z
KCPSMってどっかで聞いたことあるなあと思ったら、picoBlazeのことだったな
10年以上前にお世話になったことがある
0285774ワット発電中さん垢版2018/06/28(木) 12:23:46.15ID:W/QodscW
>>284
intelになってからディスコン続きだよな。flex6000、5V単一電源で使いやすかったんだけど。古い話で申し訳ないが。
0287774ワット発電中さん垢版2018/06/28(木) 13:23:41.12ID:G7FKidXD
terasicのDEのトレーニングボードってほぼCycloneじゃなかったっけ。どうなるんだろ。
0288774ワット発電中さん垢版2018/06/28(木) 13:52:55.61ID:s8hMgS6o
>>284
ストラテはフラッグシップモデルだから下のは無くしてもいいけどさ。
CPLDはあれはあれで使い道が沢山あったのだけどな。言わばPLD界のPICマイコンみたいな感じ。
0290774ワット発電中さん垢版2018/06/28(木) 14:25:07.56ID:VsWFEnmJ
>>287
ディスコンは初代CycloneTだろ、大分前からツールのサポート対象 外れてるし
ツールの対応具合見ると CycloneV 辺りまでは怪しいな
0292774ワット発電中さん垢版2018/06/28(木) 19:21:18.20ID:ESa00JNo
Cyclone IIIは新規設計に推奨だから、当分大丈夫
0293774ワット発電中さん垢版2018/06/29(金) 02:11:59.64ID:PETZ0A9i
無印Cycloneは、確か240pinQFPとかあったんだよな
コスト的に「BGA・6層板、とんでもない」けど、I/Oだけは多い用途に
使った記憶がある
0299774ワット発電中さん垢版2018/06/29(金) 20:13:06.87ID:WqhCJ/kz
BGAは取り外しが困難だからなぁ。。
それに高多層になるかビルドな基板になって高すぎるし。
中には作れない基板屋だってあるしさ。
0301774ワット発電中さん垢版2018/06/29(金) 21:22:11.26ID:HjcYWzwm
お前らが明示的に否定せずに否定的な雰囲気を醸し出して
突っ込まれるリスクを回避しつつ自分の意見をちらつかせたところで
責任取ってるメーカーは使ってるんだから問題ないんだろう
0302774ワット発電中さん垢版2018/06/30(土) 06:30:04.94ID:pJ3d87tI
ノートパソコンの故障はBGAの剥離が多い。
ヒートガンで炙って治す強者もいる。
0303774ワット発電中さん垢版2018/06/30(土) 09:42:26.54ID:lxPECgp3
良いノートパソコンはアンダーフィルされてる
0306774ワット発電中さん垢版2018/06/30(土) 12:24:10.89ID:CladPX0q
>>305
モールディングじゃんか。
耐性高めるよりブラックボックス化したかったんだろ。
0308774ワット発電中さん垢版2018/06/30(土) 12:54:55.33ID:H9/oRZnL
アンダーフィルされてないBGAなんかないと思ってたんだが、そうでもないのか
0309774ワット発電中さん垢版2018/06/30(土) 13:13:17.81ID:pZ6z2yIA
>>308
さすがに世間知らずすぎる
0310774ワット発電中さん垢版2018/06/30(土) 16:10:07.50ID:H9/oRZnL
半導体の実装は仕事の範疇でないんで俺は知らんよ

HDL書いてるだけの俺みたいな人はアンダーフィルが
何なのかすら知らなかったりするし
知ってるだけでも世間を知ってると思うが?
0315774ワット発電中さん垢版2018/07/01(日) 16:17:23.77ID:ekcNqEtB
>>289
何年量産するかによるけどCyclone IIIの新規採用はオススメしない。
リリースから供給年数15年以上というスタイルだから、ワーストケース15年で考えたら新しいデバイスの方がオススメ。
仕入れ先とご相談下さい。
0316774ワット発電中さん垢版2018/07/03(火) 01:26:19.20ID:L4gpLclH
>>315
15年と言ったが、地球歴とは言っとらん!
って言い出したら笑いながら怒るわ 笑
0318774ワット発電中さん垢版2018/07/03(火) 12:37:54.10ID:ctyBAT/d
それは、体のよい断り文句
あんたとは取引せんよ っていう事だな
0319774ワット発電中さん垢版2018/07/03(火) 21:51:22.77ID:TUeFXinq
SRC
0320774ワット発電中さん垢版2018/07/03(火) 23:10:30.86ID:AEzAp3oq
アンダーフィルって、それと基板、ICの熱膨張率の違いでストレス掛からないの?
0321774ワット発電中さん垢版2018/07/04(水) 06:51:39.65ID:6HhusFG4
>>320
アンダーフィル自体が放熱の役割を担ってるからね。
ストレスかかるけどシリコンベースだから柔軟性があり、気にする物ではない。
0323774ワット発電中さん垢版2018/07/04(水) 11:07:39.04ID:i6xvBLh3
xilinxのリードタイム
10ヵ月じゃなくて
10週間だった
てへ
でも長いなぁ
0328774ワット発電中さん垢版2018/07/14(土) 06:50:04.99ID:tttg2TgL
元々ASICを設計していた会社でFPGA設計の仕事があったので行ったら
ASIC時代の経験とカンで設計しているから話が合わない
もちろんだけど俺のFPGA設計は信じて貰えなかった
0329774ワット発電中さん垢版2018/07/14(土) 08:32:23.88ID:Df2MKekG
>>327
ここ10年くらいの間、インテルが手がけた新製品はほぼ失敗してる。成功してるのはx86だけ。
fpgaは失敗の筆頭かな。
0330774ワット発電中さん垢版2018/07/14(土) 09:20:15.66ID:1vtXDUhF
intelはFPGAなんかなんもやってないだろ

しいて言えばAlteraをintelに変えただけで
それは企業としては色々やってる範疇には入るんだろうけど
電電板的には何もやってないのと同じ
失敗も成功もしていない
0331774ワット発電中さん垢版2018/07/14(土) 11:49:48.73ID:Q3IQlM19
x86 オンリーだと
今後の自社Fabの維持ができなくなる事が見えててきたから Foundry にも手を出すつもり
手始めとして、FPGAなんかは 練習にうってつけ
じゃなかった?

だが、独占に近いx86と違ってFoundryには競合が居るわけだから結局は値段になる
それが出せなければ失敗に終わるだろう

---
ARMとか凄いよね、数と値段が...
Intelが独占をいい事に今までみたいにサボってるなら、何れ追いつかれ/追い越される事になる

まぁ、そんな事は解かってるんだろうけど 既に100点に近い位置にいるヤツにとっては辛いよな
100点を超える事はできないわけだし
0332774ワット発電中さん垢版2018/07/14(土) 13:50:50.88ID:hDa0JvmD
もともと、インテルはアルテラのFPGA製造してたろ
0333774ワット発電中さん垢版2018/07/14(土) 15:33:29.60ID:1vtXDUhF
ARMは図面売ってるだけの会社なんで形あるものを作ってるintelとは同列に比較できないのでは?
0334774ワット発電中さん垢版2018/07/14(土) 17:00:30.52ID:5JWmdbx+
Renesasもアナログマスタスライスとdac adc
Fpgaをワンパッケージにしたデバイス出さないかな。
0336774ワット発電中さん垢版2018/07/14(土) 18:00:15.70ID:TtYmiXca
>>330
いいや、インテルはxeonのパッケージにfpga積んで、あらゆるx86サーバーをcpu交換でfpgaサーバーにしようとした。
でもこのxeonは一世代でディスコンになるという噂。
インテルはソフト周りにかなり手間をかけたが、fpgaのアプリが思うほど広がらず汎用にならんことがわかったから。
これは失敗なのだ。
0338774ワット発電中さん垢版2018/07/14(土) 18:08:36.53ID:PTRFr1Ew
>>334
ルネサスはバカすぎてデキルワケガナイ
ルネサスで派遣として働いた者より
あの会社には関わるな
0340774ワット発電中さん垢版2018/07/14(土) 20:35:22.75ID:YrTtbpqj
ルネサスの上層部は
保身が蔓延してるんだな
0341774ワット発電中さん垢版2018/07/14(土) 20:52:57.61ID:B6Dbq8bk
そもそもルネサスは日系自動車関係以外手を出してないのがおかしい。
他の用途でそこそこ名が売れてるの見た事がない。
0342774ワット発電中さん垢版2018/07/14(土) 21:31:14.99ID:ZDioe8it
>>337
hdlab ってかなり昔からあるけど全く大きくならないな。
零細企業にこだわりがあるんだろうな。
0344774ワット発電中さん垢版2018/07/15(日) 01:31:24.01ID:8rB6kKqO
正直どっか給与の高い就職先あったら紹介して欲しい。ここFPGAスレだっけ。
派遣でFPGAからASIC半導体会社渡り歩きましたが、つかれました
嫁と高額の給与がほしいです
0345774ワット発電中さん垢版2018/07/15(日) 02:38:20.23ID:IBB9ZG55
せっかくなので
シリコンバレーに挑戦してみれば?
0346774ワット発電中さん垢版2018/07/15(日) 04:24:17.16ID:BMl0DJuq
ルネサスというか日本の大企業ってみんなそうじゃね?
管理職は自己保身(イエスマン)のスタンプマシーンばかり。仕事は実現性無視の指示をした下に出し上が喜ぶ数字を上げる事
その数字が正しい必要はなくねつ造もあたりまえ。だからやり過ぎたり運が悪いと監査に引っかかり炎上
忠誠心のある従業員なんて減る一方だしあと10〜20年もしたらこれが原因でバタバタ潰れる気がする

今勤めている会社も一応大企業の内に入ると思うけどこれでそのうち不祥事で炎上しそう
人事もお飾りだし一度傾いたら立て直せる気はしない
0347774ワット発電中さん(アメリカ合衆国)垢版2018/07/15(日) 04:43:55.44ID:84jPw+Rr
>>344
西海岸良いぞ。もう日本じゃ働きたくない。
0348774ワット発電中さん(アメリカ合衆国)垢版2018/07/15(日) 04:48:02.39ID:84jPw+Rr
こっちもデジタル屋は多いので、競争は結構キツイので覚悟してね。
新卒でもバリバリできる人がそれなりにいます。
0353774ワット発電中さん垢版2018/07/15(日) 13:12:10.07ID:Dp9YFUTN
ストレス溜まるバカが少ない
0354774ワット発電中さん(アメリカ合衆国)垢版2018/07/15(日) 14:20:05.51ID:84jPw+Rr
>>349
>>347 だけど、こっちに来たきっかけは、日本で働いてた時にアメリカ人の元同僚から誘われた。
今は3社目。

>>351 >>352
ちゃんと仕事さえしてれば文句言われない。
あと、西海岸の物価高を差し引いても日本より生活水準が上がった。
あと、休みが取りやすい。元々仕事は好きなんであんまり休みはとって無かったけど、子供が出来てからはこれは本当ありがたい。

こっちは仕事はたくさんあるよ。
ただし、2020年位から経済成長が鈍化すると言われてるからその先はわからんけど。

スレ違いの話題なんでこの辺にしとくわ。
0356774ワット発電中さん垢版2018/07/16(月) 01:22:32.41ID:jPUgMlRv
一緒に働いてた人とか
出向先にいてた人から
30代くらいで声がかかるような感じでないと
この業界はやって行けない気がする。

それに海を渡ってきた人達のバイタリティの高さは
確かに一緒に仕事をしていて感じるわ。

今の歳でも海を渡るのが怖い^_^
0358774ワット発電中さん垢版2018/07/16(月) 01:41:58.93ID:vDDvpHgA
さいきんシリコンバレーでエンジニアの給料上がってるとかニュースでみたけどどーなん?
90年代半ば$5000のエンジニアなんて居なかった。
0359774ワット発電中さん(アメリカ合衆国)垢版2018/07/16(月) 02:13:22.01ID:qp+W0E2U
月収$5kって年収だと$60kになるけど、ベイエリアのエンジニアだとその金額は新卒の年俸にすら届かないレベルだよ。今新卒で$80〜110kとかそんな感じ。
90年代と比べると経済成長で給与だけでなく物価もかなり上がってるよ。
リーマンショックとかあったけど、アメリカは長期的に見たら着実に経済成長してるのよ。
0360774ワット発電中さん垢版2018/07/16(月) 07:56:38.49ID:uxSJMnXB
>>357
日本上空を通ってアメリカ大陸に行くのでは?

わざわざ日本で途中下車して言葉は不自由、給料も不自由な環境でやろうなんて
よほど日本が好きでなきゃありえないでしょ
0361774ワット発電中さん垢版2018/07/17(火) 08:11:36.11ID:TMzwazjP
「日本の技術は〜」みたいな論を唱える人は少なくないけど日本の技術のほとんどが
技能者の有志による物だという認識のある人はほとんどいない
世界ベースで見たら安売りも良いところじゃね。その分の賃金が無能に支払われているとも言えるか
0362774ワット発電中さん垢版2018/07/17(火) 08:18:56.78ID:PxPVYUGT
>日本の技術のほとんどが技能者の有志による物

そうなんかな?
これって公平な観点からの何かの調査によるものではないよね?
0364774ワット発電中さん垢版2018/07/17(火) 17:30:59.54ID:yXYK1arN
CEOがコンピューターサイエンスのドクター持ちだったりするのは
正直ちょっと夢がある(もちろんその陰では死屍累々なわけだが)
0365774ワット発電中さん垢版2018/07/17(火) 19:41:18.48ID:0ZwK/Xt6
>>362
日本人は有能だと言われる一方で日本の生産性はお世辞にも高いとは言えないでしょ
しかもその数字はサビ残等の無賃労働(実質ボランティア)などを含んでいないわけで実際はさらに低いはずだ
その原因の一つは人事評価システムにあるのではないかな
世界で評価されると出て行ってしまう人も少なからずいるし

>>363
他にも似た国がある事は否定しない
0366774ワット発電中さん垢版2018/07/17(火) 19:43:22.11ID:ytOMzTyM
>>359
新卒でその年収はすごいな
新卒にそれだけ給料出せるぐらい稼いでいる企業が普通って素晴らしいな
0367774ワット発電中さん垢版2018/07/17(火) 21:00:22.30ID:PxPVYUGT
>>365
それは「日本の技術のほとんどが技能者の有志による物」を裏付ける話ではないね。

(1)有能だと言われる。誰が? 誰に?
(2)業務の「生産性が低い」ことと「日本の技術」の高い低いの関連性は薄い。

それ以前に「技能者の有志による」の定義が曖昧だと思う。まずはここからかな。
0369774ワット発電中さん垢版2018/07/17(火) 21:17:21.69ID:hpsIgWk2
日本人は有能ではなく、有能『だった』んだけど、
昔のイメージが払しょくされてないので有能なイメージがある
0370774ワット発電中さん(アメリカ合衆国)垢版2018/07/17(火) 21:23:11.08ID:yMxiYsb2
>>366
物価が高いから一概に比較出来ないよ。
家賃がクソ高いから、年収$80kだとベイエリアは結構大変。だから若者はルームシェアしてる人が多い。
0371774ワット発電中さん垢版2018/07/17(火) 21:34:51.57ID:0ZwK/Xt6
>>367
今日本の技術などと呼ばれている物のほとんどがかつて行われた無賃労働の産物じゃね
アメリカで同じ予算で同じ仕事をしてもらうのは不可能に思える。アメリカじゃなくドイツでも構わんが
世界から技能者を日本へ引っ張ってきてバリバリ仕事してもらうなんてまず無理っぽいが気のせいかね
アメリカは現在進行形でそれをやっているけど

>>369
今でも技能を持っている人は相応にいるけど、それを仕事で活用し利益に貢献してくれるかはまた別だと思う
能ある鷹は爪を隠すなんて言葉もあるくらいだしな
0372774ワット発電中さん垢版2018/07/17(火) 21:39:41.73ID:PxPVYUGT
「日本人の一部が優秀」あるいは「日本人の一部には優秀な人がいる」ということは
昔も今も間違ってはいないと思う。

でも、それを「日本人は優秀」と読み違えるとダメだよな。
0373774ワット発電中さん垢版2018/07/17(火) 21:56:46.27ID:PxPVYUGT
>>371
厳しい言いかたになるけど、サービス残業は、立場を守るためにするわけだから、無賃労働とはちょっと違う。

サービス残業を含め、短期的に直接的な賃金を得られないのだとしても、

(1)将来の立場を、サービス残業しない場合よりも良くするため。
(2)将来の立場を、サービス残業しない他の社員よりも良くするため。
(3)将来の自分を守ってくれる会社の立場をよくしたい。

という期待があったからこそ、やっていたわけだし。
0374774ワット発電中さん(アメリカ合衆国)垢版2018/07/17(火) 22:15:19.95ID:yMxiYsb2
>>371
アメリカから見ると日本の人件費は安いと感じる。
ただ、もっと安い中国とかインドに仕事は出しちゃうんだけどね。最近は品質も良くなってきてるし、何より英語が通じるのが大きい。

アメリカ人に言わせると、給与安かったら高いところに転職すれば良いのに
って感覚だからね。
日本ももっと人材が流動的になった方が良い。
仕事ができる人が報われる社会になるといいね。
0375774ワット発電中さん垢版2018/07/17(火) 22:27:25.88ID:8xcvEsV0
サービス残業をするような会社はサービス残業分を給与に含んでいるとも考えられる。
だからサービス残業をなくすという議論をするときは給与体系も変えないといけない。
0376774ワット発電中さん垢版2018/07/17(火) 23:15:15.68ID:hpsIgWk2
>>375
日本で経営するのに日本の法律無視して俺ルールで給料に含まれてるとか主張したって
主張している奴以外誰も聞いてくれないよ
0377774ワット発電中さん垢版2018/07/17(火) 23:30:08.65ID:PxPVYUGT
公立学校の先生がそういう給与体系になってるし、ルールもザル扱いなんじゃなかろうか。
0378774ワット発電中さん垢版2018/07/17(火) 23:31:24.94ID:PxPVYUGT
>日本ももっと人材が流動的になった方が良い。

個人的にはこれが優先課題だと思う。
0380774ワット発電中さん垢版2018/07/18(水) 00:34:38.41ID:fPVxpokF
アメリカに限らず国際的な技能者の社会的地位はそうでない人より高いのではないのかな?
日本だとデスマの下っ端が基本だけど

>>373
そのような働き方が許容されうるのは右肩上がりで明るい将来が見えている場合に限られるのでは
今のご時世にそんな事言っても付き合う人は減る一方だろう。一部では人手不足などと吠えているところまであるし

>>374
流石合理主義の国というか正論ですね。世界で活躍する上で必要な英語力って多分一般にに思われているほど
高くはないと思う。日本の技術者や研究者が英語でプレゼンしているのとか聞くとメッチャ日本語なまりだったりするし
まぁ自分はからっきしですが。習うより慣れろなのかなぁ・・・

>仕事ができる人が報われる社会になるといいね。
この件に限らず日本社会にはシステム上の欠陥がてんこ盛りですが、日本人はシステムを理解出来ない=当面は
このままズルズルと衰退していくでしょう
一部のシステムを理解出来る人の中には処方箋を書く人もいますがほとんどの人に理解されないし
0381774ワット発電中さん垢版2018/07/18(水) 02:12:47.54ID:NkS4H1FD
FPGAそのものを作る仕事ならともかく
FPGAの実装仕事なんてな所詮土方だからな
わざわざ西海岸まで土方しに行ってどーすんのwwww
0383774ワット発電中さん垢版2018/07/18(水) 11:42:27.49ID:hQBGMHpH
20代毒身だったら挑戦して見たいな。
若い頃は周囲が殆ど派遣で採用だったけど
うまく正社で入れたから出るのが凄く怖かった
記憶がある。
0385774ワット発電中さん垢版2018/07/18(水) 18:46:41.52ID:PEwYfTcQ
500個ぐらいのDSP48を並列演算させるドカタ仕事をしててそれしたいのだが、8年ぐらい経過してオッサンになって転職断られる
0386774ワット発電中さん垢版2018/07/18(水) 19:13:00.58ID:Oo9jgT4J
未だに若手に違法労働させる会社がいっぱい。だからオッサンは断られる
0387774ワット発電中さん垢版2018/07/18(水) 19:26:44.49ID:yDh6C0JX
俺の会社でもドカタ仕事しか経験のないおっさんはイラネになるよ
ドカタ仕事なら若い奴で良いになる。
おっさんを取るとなると、自社に分かる奴がいないこと(新分野とか)をやるために
それを任せられるエキスパートが必要ときとかかな。
0388774ワット発電中さん垢版2018/07/18(水) 20:41:37.02ID:hQBGMHpH
土方に仕事を強いる作業を要求される。
0389774ワット発電中さん垢版2018/07/19(木) 01:12:51.99ID:sWcdlXpx
土方さんといえば、近藤さんじゃね??
0390774ワット発電中さん垢版2018/07/19(木) 02:57:38.68ID:3lAhXgqQ
どんな仕事でもスマートにやる方法はある。実際には警備員や土方作業員のような泥臭い
仕事ですらスマートに仕事をこなしてドンドンと出世していく人はいる。
かたやFPGAのように最先端の仕事に恵まれながら、そのチャンスを生かせないような人もいる。
0393774ワット発電中さん垢版2018/07/19(木) 04:48:13.30ID:otilTOQP
>>384
>新しい概念作り出せるなら
>起業すればいい

ボクオッサンだから、FPGAでタイムスリップする概念つくれるぜ。
だから、起業してやるから年間2000万円ちょーだい
0402774ワット発電中さん垢版2018/07/19(木) 18:56:23.30ID:qA968UQz
>>387みたいな会社ってそう遠くない将来に潰れそう
人が減っているのにそんな事していて事業を継続できるとは思えない
しかも潰れたら他人のせいにしそう
0403774ワット発電中さん垢版2018/07/19(木) 19:23:53.39ID:xZLwXxWE
>>400
5chに来るのはエンジニアじゃないドカタが圧倒的に多いから
FPGAの話より雑談で激しく盛り上がるよな。
仕事もこんな感じな奴がほとんどだろう
0404774ワット発電中さん垢版2018/07/19(木) 20:01:11.80ID:qA968UQz
>>403みたいな事を言う人はしばしば現れるけど今や5chに限らなくね?
表だろうが技術的な議論が出来る場所なんてほとんどないと思うが
0405774ワット発電中さん垢版2018/07/19(木) 20:18:25.78ID:xZLwXxWE
>>404
>技術的な議論が出来る場所
何で5chと言う場所ではこれができないんだ?
ここは技術的な議論禁止の雑談スレだからできないのか?
0406774ワット発電中さん垢版2018/07/19(木) 20:55:52.61ID:qA968UQz
主観のみを書き散らす宗教家やネット等に書いてある情報をコピペする事しかできない自称技術者はいっぱいいるけどな
5chも例外じゃない。昔の2chと比べたら技術者は減ったよ。この板の初心者質問スレなんて初心者しかいないじゃないかw
少しでも主流から外れると誰も反応しないし
0407774ワット発電中さん垢版2018/07/19(木) 20:55:55.84ID:mj55s4MO
単にネタが無いのでは
小ネタをひとつ
xilinxのSPIコンフィグで、起動しないのが発生。
電源on後にリセットすると起動する
リセット押しながら電源on後にリリースしても駄目
クロック周波数落としても駄目
いろいろ散々試して、データ圧縮有効にしたらokになった
エラッタじゃないかなぁ・・・と思ってる。
0412774ワット発電中さん垢版2018/07/19(木) 23:45:45.58ID:bC/tbe7Z
>>407
電源電圧の立ち上げ手順守ってる?
0415774ワット発電中さん垢版2018/07/22(日) 18:40:55.43ID:lO2tUE+R
雑談は盛り上がっていなのに、FPGAの話になると劇盛りさがるって
さすが5chの俺らのFPGAスレだよな
0416774ワット発電中さん垢版2018/07/23(月) 10:29:11.33ID:6nBEXZ+m
>>407
電源電圧が違うROMが実装されていて、似たような症状が出たことがあるよ。
設計は1.8Vなのに、定格電源電圧2.5VのROMが実装されていた。
0417774ワット発電中さん垢版2018/07/29(日) 14:36:03.55ID:v3oTIeMV
こうネ
日本の電気業界で技術ネタもねーからさ
3階建ての年金部分なんてまったくもらえねーんだろな
0418774ワット発電中さん垢版2018/07/29(日) 15:17:55.88ID:7QWjvGWy
電気に限らずネタはあると思うよ。ただ出る杭は打つで積極的に潰しているだけでw
0419774ワット発電中さん垢版2018/07/30(月) 15:25:39.32ID:0oOLPawr
画期的な技術のネタ発見!なんてのは、大概尻すぼみだしな
マグネシウムエネルギーなんて、どうなったんよw
0420774ワット発電中さん垢版2018/07/30(月) 21:12:12.71ID:OTQQxgZ0
電機業界ってか電電三社ようなIT関連は長年の過労な為か早死多いので年金運用は他より楽って聞いたぞ
0421774ワット発電中さん垢版2018/07/31(火) 21:01:19.20ID:/Jb/n69b
Artix-7の暗号化したBITファイルをお客さん(というか友達)に提供したいのですが、
初心者に使いやすいコンフィギュレーションツールはないでしょうか?

iMPACT(が入っているLab Tools)はダウンロードに時間がかかりますし、
Digilent Adept2は暗号化BITファイルに対応していない(nkyファイルの書き込み方が不明)ようですし、
Xilinx USB JTAG Programming というツールもあるようですが Spartan3E Starter Kit 専用のようですし
0427774ワット発電中さん垢版2018/08/02(木) 10:52:48.35ID:fdXN/Xqh
>初心者に使いやすいコンフィギュレーションツール
そんなものは無いな

専用のconfig用USB付きマイコンを基板に載せて
D&Dでコンフィグとか作れば
0428774ワット発電中さん垢版2018/08/02(木) 11:08:30.13ID:uQez3g3h
MAX10使えば済む話
0429774ワット発電中さん垢版2018/08/02(木) 12:36:49.22ID:kwtj0IP1
三味線。
0430774ワット発電中さん垢版2018/08/02(木) 15:36:58.08ID:fdXN/Xqh
ブレッドボードで使えそうなFPGAカードがいつの間にか秋月に
akizukidenshi.com/catalog/g/gM-13487/
Spartan-7, \8980
USBで書き込みでできて、チョイ使いにはいいかも
0432774ワット発電中さん垢版2018/08/02(木) 17:17:32.02ID:kwtj0IP1
最近よく帰りの電車でモデルシムと
睨めっこしてる人を見る、、、
0434774ワット発電中さん垢版2018/08/02(木) 18:15:18.34ID:uCN8h02D
初心者質問で申し訳有りません
VerilogHDLで、下位モジュールに複数ビットの変数や配列を読み込ませることはできますか?
もしできるならその時は変数名だけ書けばいいんですか?
よろしくお願いします
0436774ワット発電中さん垢版2018/08/02(木) 23:46:19.28ID:UeF69ua/
>>422-423>>427-428
知恵を貸していただきありがとうございました
現在、xc3sprogのソースコードとにらめっこして、どうにかツールを自作できないものかと考え中です
…が、FTDIやJTAGを勉強したことがないので苦戦しています(^^;
MAX10なら簡単に解決するのでしょうか。調べてみようと思います
0438774ワット発電中さん垢版2018/08/03(金) 00:40:58.78ID:bZ/SsXIl
>>437
ありがとうございます
SPI Flashは使わないですが、JTAGの使い方は参考にさせていただこうと思います
0439774ワット発電中さん垢版2018/08/03(金) 07:13:57.66ID:w1NHzRO0
>>430
チップ直下が一番曲がり易くてストレスがかかりそうな構造だ。
抜き挿しに気を付けないと
0441774ワット発電中さん垢版2018/08/03(金) 11:18:22.08ID:LQJqsOp2
xilinx用JTAGで小さい
TE0790-02 なんてのがあるんだな
ケース付きの方がいいけど
0442774ワット発電中さん垢版2018/08/03(金) 18:16:09.16ID:U5UJEvVR
>>440
・安い
・信頼性は低い
・供給責任は負わない
・スイッチやLEDなどの簡易なI/Fが充実してる
ってあたりでは?
0443774ワット発電中さん垢版2018/08/03(金) 20:53:12.31ID:nSg3FXJp
DE10-Lite と比べると、
FPGAの規模、周辺-I/O、値段 の何れでも負けてる
勝ってるのは、搭載D-RAMの容量 と ボードが小型 ぐらい
微妙だな
0446774ワット発電中さん垢版2018/08/03(金) 23:46:39.32ID:qa+tJv2w
basys3とかnexys4 ddrとか最近おもちゃというか教育用にいいかなと思い始めてる
0448774ワット発電中さん垢版2018/08/04(土) 08:05:51.48ID:sZ0nB3JX
Digilentで高いって発想が、おもちゃだよな
mimasは確かに安いが、コンフィグが専用ツールっていうのがダメだ
ChipscopeもMicroBlazeのデバッグもできない
0449774ワット発電中さん垢版2018/08/04(土) 08:58:18.80ID:Pv1atxQB
相変わらず低レベルだな
オマエには、転職相談がお似合いだよ

上の方で、 糞のような転職話で賑わってたから そこで相談でもしてろ
0450774ワット発電中さん垢版2018/08/04(土) 13:07:34.98ID:NiMAvdiF
>>448
ひどい言われようをされた気がするけど、今の話題はおもちゃ(教育用)だろ?
0455774ワット発電中さん垢版2018/08/05(日) 01:54:06.45ID:KDGc3Ia7
レッツノート分解し難いから嫌い
0457774ワット発電中さん垢版2018/08/05(日) 07:35:23.83ID:Xx6VTalg
>>453
コンサルみたいなところに丸投げすればいいでしょ
電気関係の知識や常識が皆無でも金さえあればできるよ
コンサルがどういう仕様にするかまで相談してくれるからね
0458774ワット発電中さん垢版2018/08/05(日) 12:09:33.45ID:WbLpMsO9
どっか金をたくさんだす転職先ないすか
0461774ワット発電中さん垢版2018/08/05(日) 13:22:51.42ID:Xx6VTalg
マイニング用のASIC作ってるところなら景気いいんじゃない?知らんけど
0462774ワット発電中さん垢版2018/08/05(日) 14:04:48.27ID:8+RcXr5V
マイニング用ASICはマイニングコアが大量に並んでるだけだから、
論理設計屋はそんなに人数要らない。
0464774ワット発電中さん垢版2018/08/05(日) 19:12:16.89ID:7VWM3zT8
FPGAでマイニングしよ。
0465774ワット発電中さん垢版2018/08/05(日) 20:16:56.65ID:ajyCTud/
ったくマイニングがもう飽和してるからってどいつもこいつも先行者利益のために仮想通貨自分で作りやがって
0471宇崎垢版2018/08/07(火) 00:03:16.90ID:BKCF7DIn
真っ赤なポルシェであって
決して
真っ赤な車
は嫁のではありません
0472774ワット発電中さん垢版2018/08/07(火) 00:14:07.79ID:2Hf6/9F4
真っ赤なクルマは消防指揮車と並んで停まるとなんか、恥ずかしい
0475774ワット発電中さん垢版2018/08/07(火) 09:53:49.33ID:lUeXBwwH
スキルの証明ってやつが必要なんじゃないの?
 −母さん僕のあのスキルどうしたんでしょうね?ええ、面接にいくときに落としたあのスキルですよ。
0477774ワット発電中さん垢版2018/08/07(火) 11:06:43.73ID:9hd92RO2
どんぶらこ流れて海に出て藻屑の肥料だよ
いわせんな 恥ずかしい
0478774ワット発電中さん垢版2018/08/07(火) 11:27:23.04ID:lUeXBwwH
図らずも図星のひときてんね。
 −海の流れに棹さして陸に辿り着けるか試されるがいい
0490774ワット発電中さん垢版2018/08/11(土) 13:05:07.17ID:G1Z+Jvc9
製造装置のオペレーターは派遣かもしれないが、
技術者は自社じゃないのか?

まぁ、管理者以外ほぼすべてが派遣なんて会社も最近はあるけど
技術の蓄積が起こらないから早晩倒産か身売りすることになるな
0491774ワット発電中さん垢版2018/08/11(土) 17:52:52.36ID:kFXJqxZc
ルネサンスか
0492774ワット発電中さん垢版2018/08/12(日) 08:04:32.67ID:xv2ncyMF
結局、金をだすFPGA転職先ないのかw
日本技術者みんなバカになったもんねw
0494774ワット発電中さん垢版2018/08/12(日) 22:24:43.62ID:uk+RwumQ
どこの派遣会社に登録すればルネサ(ン)スで働けるのでしょうか?!
0496774ワット発電中さん垢版2018/08/14(火) 08:06:18.05ID:8XvGBl4E
>>495
検索しても漫画作品しか引っかかりません。何かのギャグでしょうか?!
テレビアニメ化のOP曲名が「ルネッサンス情熱」だったそうなので、そういうことでしょうか?!
0497774ワット発電中さん垢版2018/08/14(火) 21:27:31.80ID:UvlO5M/K
結局、金をだすFPGA転職先ないのかw
日本技術者みんなバカになったもんねw
0498774ワット発電中さん垢版2018/08/15(水) 02:14:42.67ID:JGbmVUmI
>>497
製品開発ならいくらでも、FPGAの仕事あるだろうけど、
日本国内では、FPGA使う仕事も、ASIC作る仕事ももうないかもな。よほどのことがないとCPUでごまかせるし。
三菱電機とかB2Bの比率高めて増収とかいってたけど、
結局体のいいハケンだろあれ
案の定労働力以外に売れる技術がなくて赤字転落
0499774ワット発電中さん垢版2018/08/15(水) 02:25:24.51ID:JGbmVUmI
実際、尼とか見てても、面白そうな民生品ってほぼ日本製なくなったな
測定器なんか20年前に合弁解消後、すっかり日本製が姿消した、残ってても何の競争力のないものばっか
昔ながらの家電とかまだ日本メーカのあるけど、製造は100%日本じゃないし、設計だってどこの国でやってるかわからん
そーいや
パイオニアは盆を待たず息絶えたっけ。BDドライブどーしよ
0500774ワット発電中さん垢版2018/08/15(水) 19:30:16.12ID:vi9PR7k+
FPGA使うようなのは基本1品物だし
量産といっても数個〜数十個
特殊な応用が主だなぁ
市販品でPC関連だと多chシリアルカードとかで見かけた
家電量販店で売っているようなものの中にはまず見つからない
FPGA機器の開発している知り合いの会社でも研究所とかからの引き合いが主だと言ってた
0501774ワット発電中さん垢版2018/08/15(水) 19:45:24.15ID:DHTs+8vY
(実験用w)地デジチューナーカードにはFPGAのってたね
千個くらいは売れた?
0502774ワット発電中さん垢版2018/08/15(水) 19:50:39.97ID:RQBLKx2V
携帯電話の基地局とかルータ機器にしこたま使われてるよ。

そう言えば昔メルコかアイオーデータのTVチューナーカードに使われてたな。MPEGのエンコード用らしかった。
0508774ワット発電中さん垢版2018/08/16(木) 19:29:14.69ID:ItnfCmbO
結局、金をだすFPGA転職先ないのかw
日本技術者みんなバカになったもんねw
年収600万円以上キボンヌ
0509774ワット発電中さん垢版2018/08/16(木) 19:36:45.47ID:KPf2/h+F
>>508
(最低限電話会議ほどの)英語が出来て
謙遜も弱音を吐かず
インドとかに飛ばされても大丈夫な人材なら

ビズリーチとかであるかも。
国内では「海外に行かれては?」って言われる人材なら多分お金稼げるよ。
アメリカなんかよりもインドとかの方が良いらしい。
実績残せばヘッドハンティングされて給料はどんどん上がるから
後は基本的に危ない所に行かない事を気を付けてればいい。
0511774ワット発電中さん垢版2018/08/16(木) 21:04:33.57ID:DMMBhkae
実績残せばと言うが、ずっと会社に幽閉されてるから
外から見て分かる実績がない。
0512774ワット発電中さん垢版2018/08/16(木) 21:32:33.89ID:7RcYNffc
FPGAに限らずコーダーとかセル画職人とかにはお金は出さないだろね。

ハードウェア記述言語で実際にコーディングする人より、
FPGAで何ができるのか、ハードウェア記述言語でどんなことができるのか、
世の中の流れは何なのか、求められていることが何なのかが分かる人の方が
希少価値があるわけだし。

会社に幽閉されていることに身を委ねているのは本人の選択でもあるのだけど
だからといって今の日本のシステムだと、一定以上になったら転職は難しくなるし
簡単に退職できないのも事実だよな。
0513774ワット発電中さん垢版2018/08/16(木) 21:44:01.29ID:/83fpR1Z
「××歳以上は採らない」って安価な技能者の囲い込みじゃねと思うのは気のせいか
実際にそいつらがいなくなられたら回らなくなる会社は少なくない
0515774ワット発電中さん垢版2018/08/16(木) 23:06:18.23ID:/b5J7lQt
>>512
>ハードウェア記述言語でどんなことができるのか
うーん、考えたこともなったな。
0516774ワット発電中さん垢版2018/08/16(木) 23:15:28.72ID:89zyfdjF
HDLなんて言葉なんだよ。

「英語話せます!」と一緒。
0518774ワット発電中さん垢版2018/08/17(金) 03:59:04.44ID:bhg5m/UI
高収入で経験から

MATLAB FPGA

で検索すると、厚木のニーソぐらいで年齢足切りされる

元々信号処理屋さんだったんだけど、そういう仕事が皆無で、簡単な仕事でどや顔をする人ばかりで、
みんな金出さなくて、つらい
0521774ワット発電中さん垢版2018/08/17(金) 10:59:26.65ID:33pIlwEP
昔vhdl でポート作ってRomiceからメモリ空間にアクセスして入出力が動いた時は感動したけど、
仕事無いんね。

うちとこはC#の仕事が多いっても副業だけどね。
0524774ワット発電中さん垢版2018/08/17(金) 12:27:03.55ID:78dZQL75
.mdlファイルと.sixファイルの違いが分かんない
0526774ワット発電中さん垢版2018/08/17(金) 17:27:47.34ID:78dZQL75
.slxファイルが新しい方で、.mdlファイルは古い形式らしいのでですが。
0528774ワット発電中さん垢版2018/08/18(土) 11:39:07.86ID:HGJYXZwt
うちの会社が大手求人で応募開始したが、全然来ないw

なんで求人の給与があがらないんだろうw
0530774ワット発電中さん垢版2018/08/18(土) 13:03:01.66ID:JfnPB065
ソニーへの架空派遣で東京地検特捜部に逮捕された
REVSONIC

LSIテクノ
UKCホールディングスに買収され、
UKCシステムエンジニアリング
>前社長は退任し経営陣も一新しました。
>元ソニーのイメージセンサー開発責任者だった方が役員を務める技術重視の会社に生まれ変わりました。
>いかがでしょう。

という、ソニー ズブズブな会社なので気をつけましょう。
給与も派遣レベルw
0531774ワット発電中さん垢版2018/08/18(土) 15:34:35.23ID:g0qeiyaN
10数年前はmatlabとhdl使えるのは周りで俺だけだったけど今は普通なのか
あの頃は仕事が楽しかった
0532774ワット発電中さん垢版2018/08/18(土) 20:32:09.76ID:oUofgDBB
>>531
10数年前の技術で止まってると逆に重宝がられるかもしれないよ。
俺2か月前ほどに見たよ、使用言語欄にAHDLって書いてあるの。
0534774ワット発電中さん垢版2018/08/18(土) 21:23:32.51ID:k9aBzW83
今FPGAの勉強中で、入門書にかかれている様な内容は身についたのですが、
そこから仕事で使えるスキルにまで持っていけなくて困ってます。

ソースを人に見せるとわかりにくい、独特だと言われます。

そこで相談なのですが、皆さん「デザインの仕方」「仕様からロジックに落とし込み方」
ってどうやって身に着けましたか?

エンジニアとしての考え方が出来ていないのかなとか思ってます。

よろしくお願いします。
0535774ワット発電中さん垢版2018/08/18(土) 22:31:55.73ID:A2IfZ+kq
>>534
ソフトと同じで、最初は他人のソースを読んでマネする
書くスタイルをパクる
HDLはソフトなんかよりよほど定型的だと思う
ただし、お手本となるいいものを選ぶセンスは要る
opencoresとかにあるのは玉石混交な気がする
0537774ワット発電中さん垢版2018/08/18(土) 22:43:09.73ID:vaHx32xE
>>535
仕事でFPGA/HDLなんだから、他人のソース=会社の奴が書いたHDLがいっぱいあるだろう。
ただ、会社の奴が書いたのをたくさん見て、俺様コードでわかりにくい、
独特だと言われているなら向いていないんだと思う
0539774ワット発電中さん垢版2018/08/19(日) 07:20:14.23ID:epRbJSs7
>>534です

みなさんご意見ありがとうございます。

社内のソースを見て勉強したいと思います。

仕様書からソースに落とし込む方法についてはいかがでしょう。
エンジニアとしての考え方、論理的思考 を身に着けたいのですが、
みなさんどうやりましたか?
参考図書があるとありがたいです。

意味不な方はスルーでお願いしまう。
0540774ワット発電中さん垢版2018/08/19(日) 10:07:04.62ID:UTCMFwDh
俺様コードが悪いとは言わないが、
たぶんそれ以前だと思われる。
0541774ワット発電中さん垢版2018/08/19(日) 10:18:49.87ID:1MIy1YIx
Maxplus2か。
懐かしい。
0542774ワット発電中さん垢版2018/08/19(日) 11:10:23.51ID:MNhy1XkE
Cの話で悪いけどとある現場で
forループで簡単な数式込みで数行でできるのを
ifと式で500行くらい書いてる人いたよ・・・

可読性以前の問題か・・・と諦めた
0543774ワット発電中さん垢版2018/08/19(日) 11:42:34.24ID:epRbJSs7
>>534です

ご意見ありがとうございます。

この仕事が向いていないことが分かりました。
ありがとうございました。
0545774ワット発電中さん垢版2018/08/19(日) 11:53:50.20ID:epRbJSs7
>>544
どうなんでしょう。
検査したことないのでわからないですが、可能性はあるのかなと自分では思っています。
0546774ワット発電中さん垢版2018/08/19(日) 11:58:55.00ID:zXdCza/c
ネットでは意にそぐわない奴はみんなアスペルガーだから気にする必要はない
0547774ワット発電中さん垢版2018/08/19(日) 12:00:44.68ID:7vedXTWP
>>545
とりあえず、仕事に支障にならぬ範囲でソース出して見せてもらわないと
醤油にすべきか、お肉にするかわからないぞ
0548774ワット発電中さん垢版2018/08/19(日) 12:37:14.54ID:epRbJSs7
>>547

レジスタモジュールを作った際、冗長なソースだと指摘されたものです。

proces(clk,reset)begin
if(reset='1') then
reg1<=(others=>'0');
reg2<=(others=>'0');
:
:
reg100<=(others=>'0');
elsif(clk'event and clk='1')then
if(resd='1' and SEL='1') then
case addr is
when x"0000" => q <= reg1;
when x"0001" => q <= reg2;
:
:
when x"0064" => q <= reg100;
end case;
end if;
end if;
end process;

ご指摘おねがいします。
0551774ワット発電中さん垢版2018/08/19(日) 12:50:39.91ID:7vedXTWP
結局、金をだすFPGA転職先ないのかw
日本技術者みんなバカになったもんねw
技術者の違いがわかる会社で
年収600万円以上キボンヌ
0552774ワット発電中さん垢版2018/08/19(日) 13:08:04.00ID:7vedXTWP
それが終わったら、冒頭にgeneric 文で任意の数値で増やせるようにしておいて
0553774ワット発電中さん垢版2018/08/19(日) 13:15:06.92ID:mC+iAVu3
多分、配列というか多次元配列だな
Verilogなら reg [31:0] reg [0:99]; とか書くやつ
VHDLでもできるでしょ?
0555774ワット発電中さん垢版2018/08/19(日) 13:18:56.86ID:7vedXTWP
あービット幅忘れてた。スマン

東芝情報システム が3カ月以上求人募集しているから、若い奴応募して採用されるかやってみてw
0557774ワット発電中さん垢版2018/08/19(日) 17:27:01.83ID:epRbJSs7
7vedXTWPさん
mC+iAVu3さん

ご指摘ありがとうございます。

そういう配列を使おうって思いつく考え方ってのはどうやって身につくのでしょうか?
今後レジスタモジュールを作る時は配列を使用するようになると思います。

ただ、別のモジュールを作るとき、同じようにこうした方が良いと指摘される
ようなコードを書きそうで怖いんです。

引き出しが少ないというか、エンジニアの考え方が出来ていないというか。
0558774ワット発電中さん垢版2018/08/19(日) 18:22:34.39ID:TR24oi7e
指摘してもらえばいいんじゃないかなぁ

自分のコードが本当に最適かどうかなんていう不安は、多かれ少なかれ誰にでもあると思う
自分のコードを会社の誰かに添削してもらったり、逆に他人のコードをレビューしながら勉強したりして、少しずつ経験を積んで自信を付けていくしかないのでは…

多少のノウハウが書かれた図書もなくはないけど

『VHDLによる論理合成の基礎―合成に向いたコーディングを考える』
https://www.amazon.co.jp/dp/4924998567
0559774ワット発電中さん垢版2018/08/19(日) 18:38:56.27ID:Fx0plHhz
このソースに対して配列使え、という根拠は
同じような名前のレジスタが序数を伴って並んでいるところからだよ
可読性を持たせたいなら別々の名前を使うべきケースもある
いちいち記述が大変な規模になるならtype-recordを使うこともある
人のコードを読んで、こうやってスマートに記述するのか、とか、これいいな、
と思う記述スタイルを盗むのだ
でも時々凝りすぎてて訳が分からんくなってるコードもあるので理解できる
範囲でほどほどに
0560774ワット発電中さん垢版2018/08/19(日) 19:34:53.06ID:UTCMFwDh
>>558
10年以上前の合成ツール向けの記述なんて
制限多くて書きにくいばかりだぞ。
STARC バリだからな。
あの会社なくなって本当に良かった。
0564774ワット発電中さん垢版2018/08/19(日) 21:06:00.10ID:epRbJSs7
TR24oi7eさん
Fx0plHhzさん

ご教示ありがとうございます。

少し悲観的になっていましたが、気が楽になりました。
社内のソースを読んで勉強したいとおもいます。

みなさんありがとうございました。
0565774ワット発電中さん垢版2018/08/19(日) 21:44:32.45ID:Aevvqa81
>>564
社内で育成のための勉強会とかをやっていないのか?
俺の会社ですら社内のそこそこのベテランを講師にしたプログラミングやハードの
技術の勉強会があって、若い連中は上司命令で関連ある勉強会に強制参加になっている。
で、その勉強会の出ると宿題が出て次の勉強会で俺回答を発表
0569774ワット発電中さん垢版2018/08/19(日) 23:36:51.45ID:v5BUnDoA
565の内容だけからは「暇そう」とかは言えないでしょ
別業界だけど、うわさに聞く和○の実情とかから考えると
0572774ワット発電中さん垢版2018/08/21(火) 02:29:45.77ID:2TdSSCPr
アメリカ証券市場で高速取引に使うアルゴリズムを渡されて
それをFPGAで実装する仕事があったらしいけど今どうなってるんだろう?
0573774ワット発電中さん垢版2018/08/21(火) 06:21:23.64ID:pKcXM1q5
>>572
高速取引関係はボチボチ求人出てるよ。
「高いプレッシャーの元で働ける人」みたいなこと書いてるけど。
0574774ワット発電中さん垢版2018/08/21(火) 10:17:13.19ID:HGtVX0oC
どういうアルゴリズムなんだろうね
ネットワークレイヤはソフトだろうけど・・・
まさかそこからロジックで???
gkbr
0576774ワット発電中さん垢版2018/08/21(火) 11:45:09.15ID:n4ALYH7C
TCPくらいまでならIPありそうだけど、
レイテンシをns単位で削れとか言われるんだろうなあ
0577774ワット発電中さん垢版2018/08/21(火) 17:12:53.05ID:Min/Lzw6
雇用統計とかのメチャメチャ走ってる最中でも
スリップせずに約定する事
まで求められるんじゃねぇの…
0580774ワット発電中さん垢版2018/08/21(火) 22:30:46.22ID:iLQRGQYy
お前らって何でもできるのに何で起業してぼろもうけしないわけ?
お前らなら年間成長率1億%でも1兆%でも実現して数年で
ザッカーバーグが土下座してお前らの足をなめるくらいの偉人になれるだろ
0582774ワット発電中さん垢版2018/08/22(水) 18:45:30.55ID:/N/tkdiE
>>500
そうそう、最近は民生量産品にも使うけど、基本はASIC起こす前の実験、試作が多いよな
そういう仕事が少なくなってきたってことだろ
5Gのドコモのトラックとかどーなってるのかな?

>>502
基地局、ルータの日本製品のシェア知ってる?www
0583774ワット発電中さん垢版2018/08/22(水) 18:47:11.68ID:/N/tkdiE
>>509
行くならせいぜいアメリカ
コンビニもなくて、うんこ臭漂うインドなんか行きたくない日本人多数
日本サイコー
0585774ワット発電中さん垢版2018/08/22(水) 18:51:26.25ID:/N/tkdiE
>>521
>うちとこはC#の仕事が多いっても副業だけどね。
Windowsでしかもハードから遠い上位レイヤのソフト屋さん?
0586774ワット発電中さん垢版2018/08/22(水) 18:58:20.86ID:/N/tkdiE
>>542
それ、ループのアンロールをわざわざ手で書いて、
処理速度稼いでるんじゃないのか?
C++だったらテンプレートで可読性よく書けるけどさ
テンプレートも可読性の悪いいろんなテクニックも山ほどあるぞ
実験的に編み出されてきてそれ用のカンファレンスもあるし
0587774ワット発電中さん垢版2018/08/22(水) 19:06:39.83ID:ouFN0qkt
>>586
いやそれも考えたんだけど本人に直接聞いたら
forループでの省略が思いつかないって言ってたんだ・・・
0588774ワット発電中さん垢版2018/08/22(水) 21:31:30.34ID:+3P2h/ce
暫く前にmicroblazeとか頑張って使ったけど
ツールの使い方とかもう忘れちまったなぁ
最近はマイコン+FPGAという風にチップ分けてる
マイコン経由でFPGAのファームを書き換えたりするため
0589774ワット発電中さん垢版2018/08/22(水) 22:28:17.14ID:pjxTo2DM
>>586
>ループのアンロールをわざわざ手で書いて、 処理速度稼いでるんじゃないのか?
これ、マイコンではたまに見かけるからな
0591774ワット発電中さん垢版2018/08/22(水) 23:46:59.87ID:YNtIpNXn
>>585
雇われで今は小規模ネットワーク管理だよ。
と言っても年間150日ぐらい自宅待機なんで
副業で昔の同僚依頼でガーバー出力したり
ソフト書いたりしてる。

仕事が重なったら最悪だけどね、、、
0592774ワット発電中さん垢版2018/08/23(木) 00:19:23.05ID:Zu4HvCYf
>>590
「VBAなら使えます」とかいってスパゲッティーコードを量産するたぐいの亜種じゃね
意外といるぞ。しかもそういうのが技術職の肩書きもって割り増しで賃金もらっていたりするし
わかる人から見ればアルゴリズムからして非効率で努力の方向が間違っているみたいな
0593774ワット発電中さん垢版2018/08/23(木) 08:47:25.22ID:mzTcBbK3
綺麗なプログラムを作ろうという意識がないとすぐにそうなるよね……
0594774ワット発電中さん垢版2018/08/23(木) 10:06:33.11ID:jglF4rFN
HDLじゃないけど
Fソフトとか何とかいうところからhelpで来た奴は
Cでビット演算できないやつだった
if文で延々書いていやがって、数10行のソースだったんで
俺が書きなおしたら一行になってしまったことがある
こんな奴が一般ソフト業界には居るんだなと
恐ろしくなった記憶がある
それとも、ワザとだったのかな?w
0596774ワット発電中さん垢版2018/08/23(木) 20:40:53.33ID:Zu4HvCYf
PLDじゃないけど安価なフレームワーク付きマイコンボードの登場でマイコンを使う人が増えたが
ベアメタルでLチカすら作れないやつばかりになった。フレームワークが用意されていないとなにもできない人たちがいっぱい
0598774ワット発電中さん垢版2018/08/23(木) 21:39:29.74ID:UP2ZogPu
>>597
ルネッサンスとか、半導体会社リストラされて、現実逃避だろ
相手にしない方がいいけど、永遠関係ないこと書かれるのは腹立つな
0602774ワット発電中さん垢版2018/08/25(土) 19:34:11.53ID:rL+v1gYB
5chに来るような奴を中途で採用する会社は底辺会社だろ
普通の会社は俺らのような奴は正社員に中途採用はしないだろ
0607774ワット発電中さん垢版2018/08/25(土) 22:06:03.56ID:EqnrPRlc
自分が来ているから、まともじゃ無くて就職先が見つからない仲間がほしいけど見つからない。かわいそうだね。
0609774ワット発電中さん垢版2018/08/26(日) 10:20:30.56ID:hJy0VUjA
>>607
ここで情報収集できるからいいじゃないか。
というか日本からまともなエレクトロニクス産業が消えようとしてるんだよ
最終的にLSIチップまで仕上げる半導体ビジネスが各大手電機から消えて、ルネ一択じゃ仕事にもあぶれるだろ。
0612774ワット発電中さん垢版2018/08/26(日) 19:18:27.13ID:U61PJIuN
ルネが利口だとは思わないがあそこが消えたら残る日の丸半導体はSONYのみか
DRAMやNAND Flashはすでに消えているし
0615774ワット発電中さん垢版2018/08/26(日) 19:33:02.90ID:zqx9uxqR
日本の半導体産業は、オワコンだから必要ないじゃん

なにいってるんだ
0618774ワット発電中さん垢版2018/08/27(月) 18:08:24.65ID:0VGJ1RIS
稼げない=不要だったら日本そのものが不要だな。実際稼げていないしw
0620774ワット発電中さん垢版2018/08/27(月) 23:21:16.74ID:Or1XR6Yx
FPGAはLSIの1つでしょ
何言ってるんだ…

中国に負けるも何もアメ公に負けた後に
切り替えて巻き返せなかった時点でオワコンだけどね
0622774ワット発電中さん垢版2018/08/28(火) 09:47:56.65ID:8GCmFbPv
特注だのカスタムもあるけど量産最終形のASICにまでこぎつける産業がなければFPGA使う業者もしれてるしな
最近日本の企業で新機能のLSI発売とかほとんどみないけど
俺が知らないだけか?
0625774ワット発電中さん垢版2018/08/29(水) 10:29:34.12ID:grB9/XTT
なんだ突然
オーディオ用の簡易DACをFPGA+CRのLPFでやったことがある
R-2R(みたいな)NTSC出力もできるな
リニアリティ(精度)はアヤシイが

ファミマ入店音とか探すとあるから試してみるとオモシロイよ
0627774ワット発電中さん垢版2018/08/30(木) 21:45:46.29ID:XUkuyb4C
無償で使えるソフトCPUとそれ用のコンパイラはもうある
無償で使えるソフトGPUとそれ用のデバイスドライバがあればFPGAでパソコン用SoCを構築できる
と思ったけどさすがにそれはないか・・・
0630774ワット発電中さん垢版2018/08/31(金) 00:35:14.14ID:r5E1SqZr
TFLOPS出るFPGAは有るんだから
上手く組めばメモリのボトルネック解消してGPUより早くなる。
0631774ワット発電中さん垢版2018/08/31(金) 01:49:03.19ID:H6qnwQHu
GPUだってシェアードメモリとか大量のレジスタとかあって、
うまく組めるならメモリのボトルネックは解消されるよ。
うまく組めないケースではFPGAでも解消されない。
0635774ワット発電中さん垢版2018/08/31(金) 13:21:40.23ID:Ap4oSnfy
乗算器とかハードマクロを使うだけなんだから
セルベースやFPGAでGPUやってもあまり意味は無い
0636774ワット発電中さん垢版2018/08/31(金) 18:35:11.85ID:28Tq2K2V
Quest Grobal Japan が年俸600で募集しているが

元々ソニーLSIへの派遣で
厚木400 福岡500とか言ってた

注意なw
0637774ワット発電中さん垢版2018/09/05(水) 22:43:06.94ID:m2sM1D62
Verilogでinitial文って一般には合成可能じゃないので初期値設定には使えない
ようなのですが、FPGAに合成するとFFの初期値に設定されていて、
リセットの手間なく使えるので楽ちんなんですが、
こういう使い方って邪道なんでしょうか?あるいはなんかリスクありますか?
0639774ワット発電中さん垢版2018/09/05(水) 23:36:27.98ID:PDeNnswn
>>637
リセットできないから。パワーON時との動作が違う物ができる可能性により、設計品質が低下
0641774ワット発電中さん垢版2018/09/06(木) 09:14:42.30ID:kDs8lcn1
FPGAしか使わない人は、電源投入時のリセット処理はもう書かない感じ?
0643774ワット発電中さん垢版2018/09/06(木) 10:26:23.98ID:LZuu7ycM
リセット回路はFPGAは内部的に持っているが
それをプリミティブで呼び出して明示なリセット処理は
最近書かないなぁ
自分はCPUの周辺として使うことが多いけど、
CPUから自前でレジスタは初期化しているよ
移植性がどうのというより、あまりデバイス固有のことを
意識したくない
0647774ワット発電中さん垢版2018/09/08(土) 07:19:08.84ID:xM5V7OzW
FPGAってXilinxとintel、Latticeくらいしか知らないんだけど
Anlogicって大丈夫なのかな

いくら面白くてもツール類がそろってないんじゃ凡人には無理だよ
0651774ワット発電中さん垢版2018/09/08(土) 11:24:00.81ID:tio5V9KU
>>649
SDRAM が載ってる FPGA って他社にあるんだっけ?
あと中国メーカだから価格が安いんじゃないか? 開発ボードが $13 みたいだし。
0653774ワット発電中さん垢版2018/09/08(土) 12:40:27.90ID:Gj4wMjK1
>>651
一般にDRAM とロジックはプロセスが違うから混在させない。
64Mくらいなら無理やり載せられるのかな?
最上位のFPGAならSRAMでそんくらい載ってるけど。
0654774ワット発電中さん垢版2018/09/08(土) 14:28:25.50ID:tio5V9KU
ツールは baidu のリンク先にあるな。Tang Dynasty (TD) というらしい。
サイズはやたら小さい。Windows 版で 158MB 。

 http://tang.lichee.pro/

あとここか。データーシートはあったな。
55nm プロセス
SDR SDRAM 64MB (200MHz) ダイスタック
SRAM (250MHz) 32Kb x 16 + 9Kb x 64 、乗算器 29 個(250MHz) 。
ADC (1Msps)

中国語多くてよみづらい。
0655774ワット発電中さん垢版2018/09/08(土) 18:24:10.70ID:Qh0Xkg8g
ebayでXILINX CoolRunnerのボードが300円くらいで沢山出てるのだが
XBOXのMODに使うのか?
安いからちょっとした実験にはいいかも
0657774ワット発電中さん垢版2018/09/11(火) 20:22:03.81ID:UAXR/hL3
opencoresに置いてあるファイルをFPGAに書き込むとホントに動くん?
I/Oとか
0660774ワット発電中さん垢版2018/09/12(水) 21:11:55.90ID:mnouMrWt
そういやpolarfireがようやく量産開始だってね
RISC-V全押しのMicrosemiだけどソフトコアCPUでZynqやC5Socにどこまで対抗できるかちょっと楽しみ
0661774ワット発電中さん垢版2018/09/12(水) 23:28:25.68ID:OdLOdvce
IntelがCPUとチップセット両方14nmで作る羽目になって
案の定キャパ足りなくなって一部はTSMCに外注するらしい

そのどこがFPGAネタかって?
一方で稼働率が下がるはずの20nmなんかを使って価格攻勢してくれないかなーとw
0662774ワット発電中さん垢版2018/09/13(木) 00:31:16.18ID:lYy6VWtl
他社Fabは設計変えないと行けないってよく言うけどそんなホイホイ作れるのね
0663774ワット発電中さん垢版2018/09/13(木) 00:40:53.20ID:n393McXI
それこそ、FPGAと同じ。
大してチューニングしなければどこでも作れる。
0665774ワット発電中さん垢版2018/09/13(木) 10:31:35.45ID:TlOgvwqI
FPGAのプログラム書くのと、
他社ファブのスタンダードセルだけ使うのは
大して変わらんってことでしょ
TSMCに投げるのだって、そう複雑じゃないチップセットだけじゃなかったっけ
0666774ワット発電中さん垢版2018/09/13(木) 12:55:53.03ID:t+JiYdxc
FPGAはメーカー固有の機能を使わなければ他社への移行は容易。

普通のLSIも標準的なセルだけ使ってれば他社への移行作業の大部分は自動化されてる。
0669774ワット発電中さん垢版2018/09/14(金) 08:34:05.57ID:BCgfwqxd
トラ技もFPGA推しなのはいいけど小手先のhow toしか書かないのは不親切な気がする
0670774ワット発電中さん垢版2018/09/14(金) 08:47:00.62ID:JC78SM0I
「○○の使い方」と謳って、結局ツールのGUIの説明しかないのがあるある。
0672774ワット発電中さん垢版2018/09/14(金) 10:57:28.66ID:ebiHbpXz
このスレでSTARC、STARあほの一つ覚えで言ってくるやついたけど
会社あぽーんしてんじゃん
何これ
0674774ワット発電中さん垢版2018/09/14(金) 13:40:05.64ID:ebiHbpXz
>>673
雑誌投稿でトラ技をカウントする公的研究機関があること知っとけ
論文ネタになるほど新規性はないが、雑誌ネタになるような事例ってのがある。
トラ技で、投稿者の所属が明確に記述されてないのは大抵それ
0678774ワット発電中さん垢版2018/09/15(土) 00:04:15.64ID:jIrAAJ8X
定期刊行物ならmagazineらしいんでなんでもいいっちゃいいけど
論文メインなのは論文誌で軽い読み物が(いわゆる)雑誌かな?
0682774ワット発電中さん垢版2018/09/15(土) 22:43:22.79ID:lxjMY581
学会誌はカウントされるんかな?あれは概論というか紹介記事ばっか
論文としてカウントされるのは論文誌のほうよね
0684774ワット発電中さん垢版2018/09/19(水) 20:38:50.34ID:ExAggYPi
古いCPLD、XC9572やXC95108(ともに非XL)が非公式でも書き込める安めのUSB書込ツールってありますか?
昔使った際にはザイリンクスの資料見てパラレルポート接続の書込み器作り書いたんですが、久しぶりに書き換えようとしてPCに端子が無いので焦ってます
0686774ワット発電中さん垢版2018/09/20(木) 22:35:27.83ID:w/xogInd
>>685
御教示ありがとうございます。
シリアル-USBモジュール使えば簡便に作れるわけですね。
0688774ワット発電中さん垢版2018/09/21(金) 00:17:27.98ID:WN2uKJwz
マイコン2個とfpga1個をまとめて欲しい
0691774ワット発電中さん垢版2018/09/21(金) 20:05:40.63ID:MyQw4Mae
CPLD内でディレイを意図的に作り出せますか
論理合成時にディレイ0として取り扱われると聞いたんですが
0692774ワット発電中さん垢版2018/09/21(金) 21:23:51.05ID:IOpOoM6g
基本的にプリミティブにDELAYエレメント無ければ無理だけど
合成最適化で無くならないように指定すれば
ゲート類重ねてある程度はできるかも
0693774ワット発電中さん垢版2018/09/22(土) 01:00:43.89ID:hTS+uF/I
74系ロジックでSN74LS31 DELAYエレメントってあったけど
あれの中身ってゲートを多段につないだだけなのか
0694774ワット発電中さん垢版2018/09/22(土) 01:11:47.94ID:Ulhj9kCG
FPGAのユーザガイドとかアプリケーションノートとかに ゲートを多段につなぐ方法とか載ってるね。
あと I/O パッドには、遅延を設定する機能があったりするから、一回外部に出せばディレイが自由にできる。
0695774ワット発電中さん垢版2018/09/23(日) 06:17:37.91ID:isICsLAO
民生部品を活用した低コスト宇宙用監視カメラの開発
ttp://www.jasma.info/journal/wp-content/uploads/sites/2/2013/10/2013_p160.pdf
論文だけど日本語だし電子工作をする人なら難なく理解できるはず
FPGAを始め既視感のある部品だらけで草
0698774ワット発電中さん垢版2018/09/23(日) 13:12:56.30ID:IBkamBiS
>>695
求人のつもり?

今更、こんな古臭い開発環境で働きたい奴なんて皆無だろ。
0700774ワット発電中さん垢版2018/09/23(日) 14:11:39.09ID:TYPDEWje
>>699
UltraScaleが発表された頃だね。
0703774ワット発電中さん垢版2018/09/23(日) 20:35:46.07ID:isICsLAO
ソフトCPUの活用例として紹介したつもりだったが予想外すぎる流れw
えぇぇ・・・この程度のシステムすら理解できない人が技術者名乗っているとか驚きだわ・・・

あと自分はこの人とは無関係だからな。組み込みとか興味あるし
その一部として宇宙関係も情報収集しているだけだし

pdfにも書いてあるけどこのシステムは実際に打ち上げられて惑星間空間で仕事を果たした
JAXA|小型ソーラー電力セイル実証機「IKAROS(イカロス)」のセイル展開の成功について
ttp://www.jaxa.jp/press/2010/06/20100611_ikaros_j.html
JAXA|小型ソーラー電力セイル実証機「IKAROS(イカロス)」の分離カメラの撮影成功について
ttp://www.jaxa.jp/press/2010/06/20100616_ikaros_j.html
分離カメラの画像は世界を駆け巡ったはず
0705774ワット発電中さん垢版2018/09/23(日) 21:00:37.89ID:MWv8XrEO
>>703
> えぇぇ・・・この程度のシステムすら理解できない人が技術者名乗っているとか驚きだわ・・・

なんで、そうなるの?
0707774ワット発電中さん垢版2018/09/23(日) 22:06:32.23ID:25vMv0RW
使っている機器の
CPUの性能=自分の性能
とか思っちゃってるから
古い記事見ると、そこにしか目がいかないんだよ
0708774ワット発電中さん垢版2018/09/23(日) 22:31:06.98ID:isICsLAO
>>706
そうなの?このスレはホビーより仕事の話が目立つように見えるけど

>>707
あぁ・・・なるほど
最新じゃない=遅れている=価値なしとか思っちゃっている人か
0709774ワット発電中さん垢版2018/09/23(日) 22:32:01.01ID:Ep05riRf
なんかリストラされた人が情報収集しているだけど、プライドが高くておかしなこと言っているなぁ。そんな感じに見える。間違っているかな
0710774ワット発電中さん垢版2018/09/23(日) 22:34:22.68ID:Ep05riRf
その議論は既にアルマジロでZynqで、アルテラがインテルでARMコアで、ソフトCPUなんて当たり前の世界が既にあったの。
0711774ワット発電中さん垢版2018/09/23(日) 22:37:27.07ID:TYPDEWje
MicroblazeもNiosもZynqもとっくの昔から普及してるのに、
「ソフトCPUの活用例」とか言ってドヤ顔で晒して何がしたいの?
0712774ワット発電中さん垢版2018/09/23(日) 22:54:19.55ID:KV7Hlqj4
>>703
そもそもお前が紹介してるのはソフトコアCPUじゃなくてハードコアCPUだぞ。
何も理解してないのバレバレ
0713774ワット発電中さん垢版2018/09/23(日) 23:06:18.27ID:rWBMwppt
Linux 動かしてその上で OpenCV 動かすって書いてあるな。
普通のソフトCPUは関係ない話だな。今だと RISC-V でなんとかって感じか。
0715774ワット発電中さん垢版2018/09/23(日) 23:31:41.80ID:1qDBcmYr
IKAROS打ち上げは2010年で、M-Vロケットの廃止によるH-IIAへの転換で空いたペイロードを埋めるために計画されたプロジェクト。
急遽決まったので設計期間は確か2〜3年と短かったはずだが、構想そのものは2000年ぐらいからあったもの。
2010年打ち上げはメインの衛星、あかつきの運用から決まっているので、イカロスが間に合わなければ水でも入れることになってたかも。

この状況下で設計製作となると実績重視の選定になるんじゃないかな。
2000年初頭でスタンダードなOSが動かせるCPUを持ったFPGAで、宇宙での実績があるとものとなると、V2Proぐらいしか思いつかない。
NASAの火星探査ミッションでもV2Proは使われていたはず。
現在でもV4とV5しかないので、Vivadoのサポートは無く、ISEでの設計になるのかな。「今更」だけど。
今から設計しても打ち上げは最低でも3年後。実績としてレポートになるのはさらにそのあと。時代遅れと呼ばれるんだろうな。
そういえばZynqの航空宇宙グレードがやっと今年ぐらいに出るって聞いてたけどどうなったんだろ?

今でこそ画像のディジタル伝送なんで当たり前だけど、2000年初頭で考えると、消費電力と重量と実績の点で採用は難しそう。
ちなみにHDMIが2003年で出たての頃、地デジの放送がスタートしたばかりの頃なので、まだアナログ画像を扱うデバイスの方が多かったはず。
多チャンネルで帆の展開具合を確認するためのカメラで、画質より信頼性の方が重視されたでしょう。無理にデジタル化する必要は無さそう。
0717774ワット発電中さん垢版2018/09/23(日) 23:37:59.32ID:ULUZSeBz
アスペ臭がスゴい。
0720774ワット発電中さん垢版2018/09/24(月) 22:22:13.03ID:kJZ3BhdH
いつもギスギスしてるけど
最近は特にギスギスしてるねこのスレ
0724774ワット発電中さん垢版2018/09/25(火) 12:28:19.07ID:unDZP2iB
マーシー&内藤はもっと金を稼いでもいいな。シリコンバレー行っちゃダメなのか?それとも飯の種になる情報をこれだけ公開する人は珍しいってだけ?
0728774ワット発電中さん垢版2018/09/25(火) 20:34:58.40ID:iV7sdcwD
貴乃花は今後生活して生きるだけの蓄えあるのか?
相撲しかしらない中卒親父がいったいどうやって生きていくんだか?
0729774ワット発電中さん垢版2018/09/26(水) 05:52:13.20ID:nWawwQwj
>>728
俺ら何十人分の資産はあるだろうし、本を書けば俺ら何千人いても勝てないくらい売れるだろう。
お前が心配しなくてもどうとでもなるよ。
0730774ワット発電中さん垢版2018/09/26(水) 15:41:02.65ID:/GrshTDZ
名前は売れてる
0732774ワット発電中さん垢版2018/09/26(水) 18:02:03.77ID:kgYoWsZh
正直、一人の技術者でまわしている会社はすごく不安だ。
veritak、becky!等々
0734774ワット発電中さん垢版2018/09/26(水) 20:51:42.30ID:4HMV4tGK
絡まれたか疲れた(憑かれた)んじゃね
不渡り出しそうになってヒヤッとしたとか
手形使ってるか知らんが
このあいだは満を持して正社員募集!とかしてたのにな
慣性質量小さい自転車だと簡単に詰むからなぁ
0736774ワット発電中さん垢版2018/09/27(木) 20:16:56.10ID:7KmW3HwW
JTAGの人、会社をたたむのか。
理由はどうであれ、会社を再開してもあんまり取引をしてはいけない人の会社となるよな。
0739774ワット発電中さん垢版2018/09/28(金) 03:34:40.98ID:EHyzzrSo
mitoujtagだけで商売やってりゃサチることもなかったのにな、
社長=実働一人社員だとこれだけでも食っていけたんじゃねーの?
会社大きくしたいわ。技術的にもいろいろ興味はあるわでサチっちゃったんだろな
趣味と仕事は分離しないとな
元々就職もせず自分のやりたいことで食っていきたかったんで、
食うための雑多な仕事が嫌になったかな?
0742774ワット発電中さん垢版2018/09/29(土) 02:40:46.34ID:+SEfC8gm
メタステーブルの対策では、外部データの取り込み口に、フリップフロップ を 1 段追加します。
しかし、この方法だと1クロックの情報伝達遅れが出ると思います。
1 クロック分の遅れが許される場合は、この対策方法で良いと思いますが、
それを許さない場合は、どのように対策したら良いのでしょうか?

あるいは、1カ所のメタステーブル対策でFFを入れたら、
他の回路にもFFを1個入れて帳尻合わせするのでしょうか?
0743774ワット発電中さん(アメリカ合衆国)垢版2018/09/29(土) 02:52:41.32ID:h1CorB0L
勿体ないな。
ビジネスを誰かに売れば良いのに。
0744774ワット発電中さん垢版2018/09/29(土) 03:50:41.01ID:anmUjS7n
>>741
ジョブズやゲイツも経営も技術もど素人だったし、随分大きくなってからもある意味ど素人だったと思うよ。
特別の訓練は何も受けていない。
経営の訓練を受けたプロはイノベーションは起こせないよ。MBAや技術士をとって下働きになる。
経営を受け継ぐ場合もある。
しかしプロというのは言いつけ通りに働く職業人ってことだからな。プロになったらお終いよ。
0745774ワット発電中さん垢版2018/09/29(土) 03:55:00.14ID:anmUjS7n
>>742
そうだよ。128BITバスなら、128個入れる。対策には1個じゃなくて普通は2個だろ。
だから256個入れる。
0747774ワット発電中さん垢版2018/09/29(土) 07:10:52.78ID:OhN5K5dY
アメリカでは技術バカが経営バカを雇ってデカくするんじゃないの?
インテルだってそうだったよな

マクドナルドみたいに経営バカに乗っ取られて創業者が捨てられることもあるみたいだけど
0748774ワット発電中さん垢版2018/09/29(土) 07:31:10.22ID:Qg8xDyqC
最後までAppleの利益しか考えず、排他的にエコシステムを構築することに執着したジョブスと、
Microsoftを後継に任せて、自らは慈善活動や、社会的な投資に勤しむゲイツを一緒にしたら失礼だろう。
Microsoftは結果的にオープンな方向に舵きりして、独占的だとMicrosoftを批判していたSun、Oracle、Appleの陣営の方が
今となってはよほど独占的になってる。皮肉なものだな。
0749774ワット発電中さん垢版2018/09/29(土) 07:58:05.28ID:anmUjS7n
慈善活動か。しらんけどお金の代わりに名誉を稼いでいるんだろうなと穿ってみたくなるが
人は変わる。まともになってきたのかもしれんな。
あのゲイツですら変わるんだからと勇気づけられている人も多いだろ。
0751774ワット発電中さん垢版2018/09/29(土) 09:38:00.80ID:a+v26YI3
偽善ではと言われていることについて
「ああ、偽善で売名ですよ。偽善のために今まで数十億を自腹で使ってきたんです。
私のことをそういうふうにおっしゃる方々もぜひ自腹で数十億出して名前を売ったらいいですよ」
(杉良太郎)
0752774ワット発電中さん垢版2018/09/29(土) 10:18:11.91ID:3AsnbxNy
くさるほど金があってもう金儲けはどうでも良くなっただけでは
成功の定義が金から名誉に変わっただけというか
0753774ワット発電中さん垢版2018/09/29(土) 10:51:14.53ID:m3o71JeQ
募金やお金を必要とする慈善活動、学校、公益団体、公共機関への寄付については、しない人、できない人が遠吠えしても何も響かないな。
それに、どれだけお金があってもそうしない人、もっとお金を自分の手元に集めようとしか考えない人もいるわけだろ?
かつてブラックの権化と思ってたモーター屋のトップがあちこちに寄付してる。エライ。エライとしか言いようがない。

ところでFPGAの営業が昔「FPGAにしておけば製造中止に強い製品が作れますよ」なんて言ってた。
結果的には彼らがやってきたことは自社製品への囲い込みばかりが目立つ。
それでも使わざるを得ないことが多い。悔しいが遠吠えだな。うおーん。
0754774ワット発電中さん垢版2018/09/29(土) 10:55:05.23ID:W/add/ze
いゃ、そのFPGA自体がディスコンで右往左往というか
5V-I/Oの石なんて無くなってるし
最近は3.3V-I/Oも減りつつ
こないだも非正規市場在庫使ってえらい目に遭った
0755774ワット発電中さん垢版2018/09/29(土) 11:26:43.47ID:mzj9IkQw
>>754
たぶんそれでも彼らは「移植していけばいいじゃない。既成ICがなくなるよりずっといい」って言う。
0758774ワット発電中さん垢版2018/09/29(土) 12:57:54.25ID:mzj9IkQw
>>757
基本的には本人の問題では?
製品サポートは続けるそうだからユーザーはさしあたってそれを信じるしかないのだし。
0759774ワット発電中さん垢版2018/09/29(土) 14:45:50.91ID:487HHrXH
ついこの間まで社員募集とかセミナールーム設置とか
Spartan-7ボード設計中とか書いてたのに
急に閉めるっていうのは、何か問題があってそうせざるを得ない状況になったんだろうか
0760774ワット発電中さん垢版2018/09/29(土) 14:47:25.52ID:ykLEXhRH
なひたふんとこってエンベッド展に出てたのにね さほどアピールしなかったか
0761774ワット発電中さん垢版2018/09/29(土) 15:53:03.10ID:W/add/ze
技術的にすごいものだからってポンポン売れるわけじゃないからなぁ
特殊なものほどチャネルは細いわけだし
0764774ワット発電中さん垢版2018/09/29(土) 21:21:18.67ID:w/s7fdgX
ここがヘンだよ日本のエレクトロニクス産業 - 吉川明日論の半導体放談(4) | マイナビニュース
ttps://news.mynavi.jp/article/semicon-4/ ←中程から
>シリコンバレー企業の多くのエンジニアは開発の仕事の傍ら、MBAの勉強などをする人が多い。
>売れない製品を開発するほど無意味なことはないことを知っているからだ。(〜略
らしい。全く別の界隈でも似たような話は聞くな
0765774ワット発電中さん垢版2018/09/29(土) 22:25:19.71ID:eS91Y8mD
なひたふ氏はブログやTwitterやってるから有名だが、
彼より知識も経験もあるエンジニアは他に幾らでもいる。

ただしクローズドな世界にいるから表舞台では見えない。
0766774ワット発電中さん垢版2018/09/29(土) 22:36:10.02ID:A1p82sN/
箱の中にたくさんのエンジニアがいて外には出てこない。
箱を良くするためにだけ活動している。
そのエンジニアは優れているかもしれない。



広く知識・ノウハウを共有してくれた内藤さんの社会貢献度は大きいな。
0767774ワット発電中さん垢版2018/09/29(土) 23:12:15.09ID:OhN5K5dY
誰もなひたふが世界最高レベルのエンジニアだなんて言ってないんだよね
それを、なひたふより上はいくらでもいるからなひたふは大したことないという
印象操作を行うのは何なんだろうね

お前らの誰か一人でもいいけど、彼より優れているなら名乗り出て示してみろよ
すぐ機密保持がーとか言って、ありもしない秘密を隠して名乗りだせない言い訳にするんだろうけどさ
0768774ワット発電中さん垢版2018/09/29(土) 23:33:08.89ID:I6Cd4oxx
匿名掲示板で名乗り出ろとか、こいつアスペかよ。
0769774ワット発電中さん垢版2018/09/29(土) 23:40:42.26ID:eS91Y8mD
FPGAはとにかく広い。

俺が知らない事をなひたふ氏は知ってるし、
逆になひたふ氏が知らない事を俺は知っている。

ブログ読んでると「へー」と思うこともあれば、
「え、それ今まで知らんかったんか?」て事もあるでしょ?
0770774ワット発電中さん垢版2018/09/29(土) 23:51:29.43ID:A1p82sN/
>俺が知らない事をなひたふ氏は知ってるし、
>逆になひたふ氏が知らない事を俺は知っている。

確かにそういう憶えは俺にもある。
でも大きい違いは、彼はWEBという公の場でそれを公開していて、
俺は、自分の仕事でしかそれを出してないってことなんだ。
その点において、彼が果たしてきている貢献は大きいんだよ。
0771774ワット発電中さん垢版2018/09/30(日) 01:33:31.27ID:qqaNJlab
>>762をもう一度冷静に読んでもらえん?

「よく知らんけどそんなに技術的にすごいもの作ってたんか」

なひたふ氏自身の技術レベルや社会貢献はすごいんだろうけど、それは脇に置いて、
これまでの製品や作品やソフトの技術的なすごさを教えてもらえないかと…
0772774ワット発電中さん垢版2018/09/30(日) 02:12:23.24ID:baVGbWEe
すきあらば、マウント取りだなぁ。
0773774ワット発電中さん垢版2018/09/30(日) 08:52:37.62ID:Nge8yoXW
なひたふって、コンサルあたりで知識そのものをウリにしたいんじゃないの?
別になんの技術もたいした知識もないけど家電用の電源基板作る会社がどれだけ多いか。
東大のプライドがあくまでも下請けはやりたくないんだろな。
mitoujtagはヒット商品になったけど、
設計した商品で長期に稼ぐような会社にしないといつまでたっても暇になるときないんじゃないんかな?
学生アルバイト雇ってたみたいだけど、正社員としては雇用してないっぽいし。
一人で技術開発に資金繰りに走り回ってたらえーかげん疲れるわな。
汎用的に使える基板をウリにするHumandataみないな路線だったら、ずいぶん楽できると思うけどね。
0774774ワット発電中さん垢版2018/09/30(日) 08:57:47.24ID:Nge8yoXW
共立とか秋月とかでも一番の売れ筋ってのはシリーズレギュレータじゃないかと思ってる。
手軽に誰でも使えるし、電源は絶対要るし。
あとの個々のアプリケーションにしか使わないパーツはそれこそDIgi-key頼みなんよな
なひたふも日本のエンジニア相手の商売するんだったら、もっとターゲットのレベル下げないとしんどい思いするだけな希ガス
0776774ワット発電中さん垢版2018/09/30(日) 13:26:59.27ID:aBT3tlOi
A Cさんって仕事出来るよね
B は?お前は何にも知らないのな
  俺はCより仕事出来る奴、沢山知っている!キリッ
0777774ワット発電中さん垢版2018/09/30(日) 13:49:59.89ID:AtA1B+fs
なぜお前らは匿名掲示板ですら他人をほめられないのか
他人をほめても自分がけなされたことにはならないんだぞ
0779774ワット発電中さん垢版2018/09/30(日) 15:55:49.18ID:A6k82Ci+
あの人、PCIeのとこ自前で設計してるし、(物理層以外のとこ)
ドライバも作れるし、基板のアートワークもできるし、JTAGはめちゃくちゃ詳しいし、守備範囲はめちゃくちゃ広いと思うわ。トータルのレベルもかなり高いかと。
記事はたまに参考にしてたんだけどねぇ。残念。
0783774ワット発電中さん垢版2018/09/30(日) 23:42:08.78ID:pdzAoa7m
>>779
ここの奴ならそれらは普通に出来るんだろうがな。
俺の会社にはそれらが全て出来る奴はいないから、手分けしてやることになる。
で、俺のところではできない(まだやっていない)Soc Fpga+Linuxもやってたからな。
特電の人、良い大学卒+技術士だけあって優秀だなとは思う
0785774ワット発電中さん垢版2018/10/01(月) 08:28:55.88ID:JyaD2gPu
FPGAではないがUSB3.0のボードは数十枚購入し輸出用のパラメーターシートまで作ってもらった。
感謝しています。
0786774ワット発電中さん垢版2018/10/01(月) 09:21:20.24ID:/b9zFhxE
会社経営なんて怖くて出来ないわ、、、
Jtag の会社無くなって残念。
どっか買収の話とか無かったんかな?
0788774ワット発電中さん垢版2018/10/01(月) 18:01:28.66ID:mXe2ILDj
やはり特殊過ぎて会社回せなくなったのか
最初からビジネスモデルが間違っていた
0789774ワット発電中さん垢版2018/10/01(月) 19:05:41.98ID:L9wkwY63
「今考えているのは、Cosmo-Zをオープンソースにして、物理学の研究者でFPGAが使える世界中の人に協力してもらうことです。」

マジっすか。
0790774ワット発電中さん垢版2018/10/01(月) 19:19:37.64ID:3882vJYi
なんか作ったら、それをタダでばらまくと、労力の分損するように思われるけど、
それ以上の儲け口が見えてきたりむこうから転がり込んでくることってよくあるね。
0791774ワット発電中さん垢版2018/10/01(月) 21:13:52.86ID:sdfj307Y
>なんか作ったら、それをタダでばらまくと、労力の分損するように思われるけど、
>それ以上の儲け口が見えてきたりむこうから転がり込んでくることってよくあるね。

昔はそうだったかもね。
今は単に「ごちそうさま」とパクられて終わり。
0792774ワット発電中さん垢版2018/10/01(月) 21:25:28.15ID:sdfj307Y
>結局、FPGAで高収入の転職先ないの?

技術面というよりも、お客さんの求めるものをいかに具体的な仕様としてまとめるかという
営業的なセンスが大事になってるんじゃないかな?
クロック数を極限まで減らして性能を出さなくてはいけないようなところは確かにその道の
ベテランの出番だろうけど、そこまでしなくてはならないようなものを要求しているところは
少ないでしょ?
下手すりゃ、高位合成でも充分だ・・・なんてことになってるし。
0793774ワット発電中さん垢版2018/10/01(月) 21:56:58.76ID:mXe2ILDj
吊るしの商品売れるようでないと苦しいよ
受託開発=自転車操業だろ
大雑把に言って
0794774ワット発電中さん垢版2018/10/02(火) 00:14:15.74ID:/cjKXR2A
mitouJTAGを最初オープンソースにしてたらパクられまくってプロプラにしたとか言ってたようなそうでないような
なんかしら思うところがあるんやろうや
0796774ワット発電中さん垢版2018/10/02(火) 06:59:00.64ID:fKnjLqOp
ブログに書いてあるじゃん。
資金集めに奔走する経営者より、
FPGA弄ってたいって。
0802774ワット発電中さん垢版2018/10/02(火) 15:51:04.30ID:+HGT88J1
FPGAいじってるのが楽しいってのは所詮FPGAユーザなんよな。
そんなにFPGA好きならエンジニアが使いたくなるようなFPGAチップそのものを売り出さなきゃ
0803774ワット発電中さん垢版2018/10/02(火) 16:36:46.87ID:A4BfBSJE
米xilinxなんか就職先として人気の企業だそうだ
日本法人は知らない
0807774ワット発電中さん垢版2018/10/02(火) 21:47:51.84ID:fKnjLqOp
日本法人はセミナー講師もあるだろ
0808774ワット発電中さん垢版2018/10/03(水) 10:28:00.51ID:45vOGzNs
>>802
そうだな。
プログラマはCPU作るべきだし
電子工作するならトランジスタやコンデンサを作らなきゃな。
0809774ワット発電中さん垢版2018/10/03(水) 12:40:14.76ID:cOnfk+M2
>>808
アスペ
0811774ワット発電中さん垢版2018/10/03(水) 13:34:16.64ID:mt2/r8RD
>>809
皮肉が通じないアスペ
0812774ワット発電中さん垢版2018/10/03(水) 13:55:07.45ID:pPOHWDTw
>>811
809の事ね。念の為
0813774ワット発電中さん垢版2018/10/03(水) 20:20:10.91ID:mIcjZsCc
俳優さんが舞台でとんでもなくアホなことを言っていても、俳優さんがアホなのだとは思わない。
それは俳優さんが言ってるからだとわかっているから。

リアルな生活で人がアホなことを言っていたら、それが冗談なのか本気なのかを区別するのは普段のおつきあい。
そのリアルの生活の習慣を、匿名掲示板に持ち込んで、冗談がわからないやつが悪い、と、普段の生活と同じ反応を期待してしまうのは
周りから自分がどう見られるかを客観的に判断できない障害があるのではない?

>>808のような冗談が通じなかったとき「ああすまん。冗談のつもりだったんだ。いきなりじゃ分からないよな」がより正常な行動だろね。
0815774ワット発電中さん垢版2018/10/03(水) 20:34:22.29ID:XhbYDzX4
まあFPGAチップを作る人はもっと増えてほしい
市場競争して安く提供していただきたい
0817774ワット発電中さん垢版2018/10/03(水) 21:14:59.69ID:TRFaUS7b
>>816
そうでもないんじゃないか?最初は 80 年代だろ。
上で出てる anlogic とか基本的な構造みたいだしな。他に iCE40 とかも。特許切れてる技術が沢山ありそうだ。
0821774ワット発電中さん垢版2018/10/03(水) 23:50:03.87ID:LY8ISQvv
まぁ、FPGAの石自体は作れるだろうけど、合成ソフトはどうするのかね
ハードだけ作って後はユーザーお任せかね
0822774ワット発電中さん垢版2018/10/04(木) 00:43:41.04ID:ka70P4Ev
FPGAをいじり回しているレベルの人ならパソコンの基板くらいちゃちゃっとデザインできちゃうのかな
DDR3/DDR4やPCI Express、USB3.0などなど高速線がいっぱいだけど
0823774ワット発電中さん垢版2018/10/04(木) 06:55:26.13ID:G8vRLJZi
>>821
そらシノプシスに丸投げでしょ
ガワは今だったらeclipseベースで安く作れそうだし何とかなるのでは
0824774ワット発電中さん垢版2018/10/04(木) 08:06:16.70ID:RhRwuYKU
>>822
どういう目的でFPGAをいじってるかで得意分野が違うから一人で全部できる天才はほぼいない。
マンガやアニメに出てくるようなオールラウンダーは現実にはいないのだよ
0827774ワット発電中さん垢版2018/10/04(木) 12:50:12.12ID:r6H6NK3g
Arm社のようにって、自社では作らずにライセンスするビジネスモデルかと思ったらちょっと違うようだな。
0828774ワット発電中さん垢版2018/10/04(木) 13:07:08.32ID:BVKZK+WZ
>>826
>電圧を印加すると原子が移動するので配線をつないだり切ったりできる
FET と何が違うんだ?とpdfも見ずに言ってみる。
0829774ワット発電中さん垢版2018/10/04(木) 15:25:54.13ID:9yjx09eh
FETというか、EPROMだな
ホットエレクトロン vs 原子
原子? チャージじゃ無くて 原子が動くのか?
0830774ワット発電中さん垢版2018/10/04(木) 17:14:11.15ID:YU5yvGp6
銅原子をDS間に移動させてショートさせることでON、DS間から引き離すことでOFF。
まさに銅原子をジャンパ線のように使って電極間をON/OFFするやり方で、
EEPROMのようなフローティング・ゲートとは全くの別物。
0831774ワット発電中さん垢版2018/10/04(木) 17:39:58.64ID:XPtJEtfy
Armコアのバスにfpga ファームアップ用子マイコン
をワンチップにして欲しい。
0834774ワット発電中さん垢版2018/10/04(木) 21:27:45.10ID:9yjx09eh
あっためるとプログラムが早く終わるデバイス
なんてなんだかいや
0836774ワット発電中さん垢版2018/10/05(金) 01:01:09.17ID:gXuxjvTB
何度も書き換えられるよ
FETは電荷でスイッチングしているのに対して
ナノブリッジは銅イオンでスイッチングしているみたい
0839774ワット発電中さん垢版2018/10/05(金) 06:59:39.94ID:aXreT2/3
何をもって超えたと判断するかも有るが。MSXはロゴも取得した公式な物だからそういう意味では超えるのにはハードルが高いな。
0844774ワット発電中さん垢版2018/10/09(火) 00:48:07.77ID:HCWFl5yb
前からあるやろ
店頭には無いが
今ならMAX10評価ボードの方がええんちゃう?
0845774ワット発電中さん垢版2018/10/09(火) 16:12:57.41ID:qEyf/XGQ
下の値段が上がってきたのか
最近FPGA付録ボードあまり付かなくなった?
0846774ワット発電中さん垢版2018/10/09(火) 20:34:06.84ID:23sReE9n
FPGAは売れてるから販促用に雑誌に流す必要がないんじゃないかな
0847774ワット発電中さん垢版2018/10/09(火) 21:06:54.48ID:q1F3GFGW
>>753-754
日本のデバイスは供給期間が長いから〜ってしばしば聞くけど、逆に供給期間が長くないデバイスを使っているところはどうしていんだろ?
今や世界的に見て日本の半導体が売れているとは言い難いし供給期間が長さが採用の可否を決める重要なファクターではないって事だよね
供給期間が長いことを前提としたビジネスモデルが時代に即していないのではとかとも思う
0849774ワット発電中さん垢版2018/10/09(火) 21:21:49.69ID:23sReE9n
ルネサスの供給ってそんなに長いのかな?
ルネがディスコンにしたおかげで、安川のΣIIIはディスコンになったんだよなぁ
今はΣVの入手性が悪くなってるし、あんまり信用はしてないな

まぁ、スマホのSoCよりはマシなんだろうけどね
0851774ワット発電中さん垢版2018/10/09(火) 22:46:57.72ID:vCnuyyJp
アレやろ
永久保証とか書いてあるけど
会社がなくなって保証がなくなる奴
0852774ワット発電中さん垢版2018/10/09(火) 23:33:24.20ID:HoMnW+bU
>>849
安川って悪い意味で日本的な会社じゃね?
ちゃんと管理されているようには見えん
0853774ワット発電中さん垢版2018/10/09(火) 23:53:00.97ID:lipXP7HR
>>847
車や家電みたいに量産向けのものは、長期供給よりも単価優先。
商品自体のライフサイクルが短いし、大量に使うから、
部品をコロコロ変えても原価が下がるなら、再設計費用をまかなえる。
でも、年間1Kも売れないような商品に使うときは、
長期供給でないとディスコンなったら、ラストオーダーで一生分買うしかない。
0855774ワット発電中さん垢版2018/10/10(水) 10:12:30.37ID:JH2KrPcO
先に潰えるのは商品寿命かデバイスサプライか
まさにチキンレース
# 再生産依頼は忘れたころにやってくる
# それでも市場在庫調べてX線検査して使えなんて依頼がたまに
# メンドクセー
0856774ワット発電中さん垢版2018/10/10(水) 11:02:09.11ID:qZyf6I/e
そして中華のドンガラicがいつのまにか市場を席巻。
0857774ワット発電中さん垢版2018/10/10(水) 12:01:41.46ID:JH2KrPcO
たしかに
中華ローコストパチモンが幅を利かせていますな
ちょっと昔のアナログICとか
オリジナルソースはディスコンになったけど需要があるものとか
0861774ワット発電中さん垢版2018/10/11(木) 10:45:04.61ID:gXSL9Byd
humandataが在庫処分やってる
トレーニングボード \1500〜
オモチャにどうぞ
けどSPARTAN2だった
古すギィ
0862774ワット発電中さん垢版2018/10/12(金) 23:48:16.11ID:gEHU3QtR
単なる入門なら、秋月で売っているLatticeの800円で十分でしょうか?
0863774ワット発電中さん垢版2018/10/13(土) 01:11:26.67ID:neUXv4lW
何の入門かによる。
例えば将来Xilinx使うなら最初からXilinxのほうが良い。
ツールの使いこなしも重要。
0865774ワット発電中さん垢版2018/10/13(土) 10:22:09.88ID:9fYgEpVZ
xilinx予算@ebay
書き込み機(USB)\3000未満 [Xilinx Platform USB]
これに加えて
CPLDボードなら\1000 [XC9572XL board]
FPGA+DRAMボードなら\3000 [XC6SLX16 SDRAM]

配達に時間かかるけどね
0866774ワット発電中さん垢版2018/10/13(土) 10:36:03.99ID:neUXv4lW
今から始めるならVivado使える7以降が良いよ
0869774ワット発電中さん垢版2018/10/13(土) 11:31:12.85ID:83MUz3yK
HLSが無料
0872774ワット発電中さん垢版2018/10/13(土) 14:59:49.04ID:9fYgEpVZ
alteraだとCyclone IV EP4CE6のボードが\5000程度で手頃かな
周辺もいろいろ付いてる
alteraだったらプログラマもパチモンで\500位だし
(@ebay)
0874774ワット発電中さん垢版2018/10/13(土) 17:26:02.47ID:yttx5g+a
Vivadoに慣れておいたほうがよくない?
(開発PCに2018基準でそれなりのスペックが要求されることも含めて)
0875774ワット発電中さん垢版2018/10/13(土) 17:34:07.10ID:9fYgEpVZ
でもデバイスは6の方が安いんだよなぁ
7シリーズだとオーバースペックになりがち
0877774ワット発電中さん垢版2018/10/13(土) 20:45:17.82ID:4ZDwpEz2
cobolと同じで今現在ucf使えるのはメリットあるけど、今からucf覚えるのは無駄になりそう。latticeの最新ツールはまだucfなんだっけ?
0878774ワット発電中さん垢版2018/10/13(土) 20:51:45.34ID:BT/T1G3o
(200MB/s をストレージなんてできないよ ras3や zynqやってみたが無理 なんかいいのある?) 
0879774ワット発電中さん垢版2018/10/13(土) 21:00:16.03ID:BT/T1G3o
(ちなみにras3ではGPIOだけど直叩きでも 20MB/s 桁が一つ足りませんwww)
0881774ワット発電中さん垢版2018/10/14(日) 01:38:23.50ID:hSX+qfuC
>>867
なんの入門なのかによりけりだからな
今学生で将来FPGAを仕事で使うための入門なのか
趣味でFPGAを使うための入門なのか
HDLを覚えるための入門教材用FPGAなのか

今学生で将来FPGAの仕事したいなら、Xi、Alでそれなりに色々な物が載った少し高価なものがいいだろうし
趣味でFPGAなら安いLaの物でも良いだろうし
0882774ワット発電中さん垢版2018/10/14(日) 07:36:49.87ID:7TDjIHCw
よくこんなものをこのマイコンで実現できるな、と感心するようなマイコン野郎がいるけど、
そんな人がマイコンでどうしてもできないちょっとした拡張をするためなら、Lattice XO2とか
Altera (ではないのか、今は)のMAX II/ V あたりがフィットするケースが多いかも。

Xilinxは小規模デバイスをいつまで供給してくれるのやら。
0883774ワット発電中さん垢版2018/10/14(日) 09:50:57.39ID:YaOuMF+u
>>882
それだけのスキルがある人ならCortex内蔵とかniosなりmicroblazeなりriscvを組み込めるFPGAでSoC化してしまうアプローチを選んだ方がいいかもね
0884774ワット発電中さん垢版2018/10/14(日) 10:07:01.69ID:7TDjIHCw
>>883
ある種の志向の人にはそれが解だろな。
でも、マイコンが好きな人の何割かはミニマリストだったり手作業を好む人だと思う。
最適解は一つではないだろね。
0885774ワット発電中さん垢版2018/10/14(日) 10:17:40.52ID:niMv3vUK
応答性が不要ならマイコン
応答性が必要ならFPGA
それ以外はPLC 
まあ、いろいろあるわな
0886774ワット発電中さん垢版2018/10/14(日) 16:27:55.20ID:2mx6IF9M
XO2とか、ちょっと古いデバイスだと
serdesが遅くて今の標準になってる奴が使えないからね
hdmiは出来ても1920x1080はダメとか
SATAはダメとか
そこだけけ直してくれれば良いのだけどねぇ
0887774ワット発電中さん垢版2018/10/14(日) 16:42:21.40ID:oQ5/eqeK
単にちょこっとしたものをVerilogなり回路図ベースで動かす程度のお勉強なら、
PSoC5LPも悪くない。プロトタイピングボードが秋月で1500円で買える。
0889774ワット発電中さん垢版2018/10/14(日) 17:12:13.62ID:7TDjIHCw
そういやPSoCって前はVHDLはだめでVerilogだけサポートだったような。
勘違い? それとも今でも?
0891774ワット発電中さん垢版2018/10/15(月) 15:39:44.34ID:24JnccaY
>>889
そう、Verilogだけだったと思う。
メッセージ見てると、WARPが動いているんだよね。
0893774ワット発電中さん垢版2018/10/15(月) 20:33:28.05ID:rvDNzw0y
200MB(バイト)/s ストレージ で リアルタイムファイル保存 しろ むちゃくちゃやわ・・・
 こんなん 無理 泣きそう (いうのはタダだけど常識くらい 理解しとけ お前むちゃくちゃやわ) 怒っていいですか?
0894774ワット発電中さん垢版2018/10/15(月) 20:40:21.63ID:rvDNzw0y
転送の壁はなんとでもなるが FS(ファイルシステム)が鬼門なんだよ!!
 会社いくの 嫌になりそうww
0895774ワット発電中さん垢版2018/10/15(月) 20:41:14.83ID:EqQPQt7i
コストかけられない感じ?
コストかけていいならSSDとかNVMeでなんとか…
0897774ワット発電中さん垢版2018/10/15(月) 20:52:15.24ID:rvDNzw0y
>>896
いいえ ありがとうございます ハード屋なんで ストレージデバイスに
SSDも候補にありますよ いいサイトとかあればww

 
0898774ワット発電中さん垢版2018/10/15(月) 20:59:26.77ID:rvDNzw0y
(FPGAでDDR積んで実現したのに・・DDRを超えるストレージを上司要求
 いまこんな感じで悩んでるっていうか 飽きれてますww)
0899774ワット発電中さん垢版2018/10/15(月) 21:43:10.48ID:yn8faOdx
FSの処理なんてやってる暇ないから
RAWでやるんだ
コントローラとNAND-FLASHをダイレクトに使え
0900774ワット発電中さん垢版2018/10/15(月) 21:46:41.93ID:yn8faOdx
まだ足りない?
パラにしてキャッシュして分散書き込め
パイプラインの要領だ
RAID0だストライピングだ
開発費掛けても汎用化すれば商品として売れるぞ!
高速ストレージの需要は研究用に非常に多い
0901774ワット発電中さん垢版2018/10/15(月) 22:04:57.46ID:j3z52I4p
ワーストケースで 200MB/sec なら、大量のバッファが必要になるな。
普通に考えれば、PCIe 実装して、ストレージは PC に任せることになりそうだが。
0902774ワット発電中さん垢版2018/10/15(月) 23:39:14.37ID:R3bA0rk6
ファイルシステムをFPGA実装して高速化するというネタで博士を取れる可能性がもしかしてある?
0903774ワット発電中さん垢版2018/10/16(火) 02:29:21.09ID:mfitg7pM
なんかそういうFSを立ち上げれば良い。
なんてね、すみません。
0906774ワット発電中さん垢版2018/10/16(火) 19:24:28.93ID:3CVhwgD+
892です コメントありがとうございます。NVMeが良さそうです
hyperflashも良さげだけど・・・悩む なんだこれw
どちらにしてもIP高そうww(予算は100万・・・( ノД`)シクシク…)
FS無視してストレージ・・後でFSに変換って考えも有かもです。HDで言う
セクタ指定書きってやつですかね・・
0909774ワット発電中さん垢版2018/10/16(火) 22:43:30.17ID:eA3sZ5lJ
NVMeなら1台でそのくらい出る
0910774ワット発電中さん垢版2018/10/17(水) 11:49:32.80ID:uUIY1hJY
大物やって疲れると小物をちまちま弄りたくなる
そんなことありません?
0914774ワット発電中さん垢版2018/10/18(木) 00:30:40.89ID:e6GeYse7
>>912
いま大河でやってる西郷どんでも似たようなのあるでしょ
ダダで新型武器供給するから、勝利したら租借地ちょうだいってやつ
あんな感じなんじゃない?
0916774ワット発電中さん垢版2018/10/18(木) 15:58:03.32ID:WIEF0qTo
下地づくりだろうな
ARMに慣れてもらえば次も、となる
でも自分はCPUは独立したほうが設計しやすいと思う
コストは上がるがトラブルの切り分けとか開発分担とかできるし
後からCPU入れたくなったら使うかもだけどそんなことはなさそう
0917774ワット発電中さん垢版2018/10/18(木) 18:41:50.87ID:WIEF0qTo
デバイス選定中なんだが、XとAで比較してる。
マイコン周辺なので性能はそれほど求めないんだけど
Aの方が安・・・最新のcyc10安すぎ
でもconfigは専用ROMなんだよなぁ相変わらずAは。
Xだと汎用のSPI使えるのに
0920774ワット発電中さん垢版2018/10/18(木) 21:46:31.63ID:WIEF0qTo
altera(intel)のconfigROMって専用のしか使えないのかと思っていたが
他メーカの汎用SPIROMも使えるんだな
ツールでの書き込み含め純正同様に使えるらしい
純正高いもんな物によっては1/10の値段になるな
epcs-discontinuation-looking-for-replacement
0921774ワット発電中さん垢版2018/10/19(金) 21:28:28.70ID:nSb3SNSd
>>917
その用途だとMAX10で事足りる。
つくりたいものを無料Qualtusで合成で論理見積もりを出してから回路を組むとよいでしょう
0922774ワット発電中さん垢版2018/10/19(金) 21:31:21.58ID:nSb3SNSd
信号処理というか、画像処理というか、そういう用途ねーの

I2CとかSPIとか何を低速でFPGAで作らせて意味あるのバカなの死ぬの
とかそういう仕事が多いのって
業界のいる場所を間違えているんだろうか
0923774ワット発電中さん垢版2018/10/19(金) 22:27:04.99ID:/3bc78tF
ラズパイ用途だろうか。
そういう仕事をくれる所に
自分で身を置かないからだろう。
0924774ワット発電中さん垢版2018/10/19(金) 22:48:36.74ID:20z/gl8x
速度が速いのは基本的に通信系だろうなぁ
それ以外では高速なやり取りを使った製品が少ない未熟な市場なわけだ
0926774ワット発電中さん垢版2018/10/20(土) 01:33:34.40ID:9BrshCxF
>>925
SPIって書いてある時点で、速度がいらない
バッファはあっても2048-8192word*32bit程度
I/Oは5V系を要求
指令がCPUから来るだけで、
FPGAにさせたいことはI/Oを増やしたいだけ
そうすると組みやすくて安くて早い
昔のFPGAレベルは出来そうMAX10いったくで
他の出番がないなぁ

そんな考えで、
要求仕様書がCPU SPI
でおのずと速度が決まる。
ただ容量は少ないから高度なことは出来ないから先につくって合成して入りきらないものを要求仕様にあんなら、上位の少し高いデバイスにカネを出せば。
組み込みマイコンやならIntel(Altera)のほうが取っ付きやすいのでは

と思いついて提案しただけのような。寝る前に

で、あーあ信号処理Xilinx最新デバイスでゴリゴリまたしたいなぁ。高収入仕事ねぇかななぁ。と自分のボヤッキーしただけだよーん
0927774ワット発電中さん垢版2018/10/20(土) 01:44:41.89ID:9BrshCxF
>>923
ラズパイ用途だと、よっぽど変な仕様が無い限りFPGA必要ないのではw

ベースバンドI/Q送受信つくるとか
独自の画像表示器つくるとか

扱う人間様の速度もアタマも追いついていない感じだよ。なんとなくね
0928774ワット発電中さん垢版2018/10/20(土) 09:25:06.64ID:cz6cAgAp
特電がそんなことやってたな
変なこと引き受けるトコは少ないから
パンクするよ
0929774ワット発電中さん垢版2018/10/20(土) 10:58:52.97ID:WUX/GoHM
>>922
じゃ5G基地局のベースバンドでも引き受ければ
いくらでもFPGA限界性能で使う仕事あるんじゃね?

I2CにSPIてPICでええやんwww
0931774ワット発電中さん垢版2018/10/20(土) 11:37:44.57ID:EI+KYf1f
え、一番最後の行から推測するにSPI以上の速度が欲しいんだろ

「性能はそれほど求めてない」って言葉から
SPIの速度でも十分ってことになるから
少なくともSPIが使えるものを指定してやればいいだけじゃん

簡単な集合と論理じゃねえか
0933774ワット発電中さん垢版2018/10/20(土) 12:02:43.39ID:9QN1VZ2B
SPIでも100MHzとか普通にある
0934774ワット発電中さん垢版2018/10/20(土) 12:44:51.76ID:0efBRFqW
>>931
そういう思い込みで仕様にない仕様を勝手に読み取ってしまうから
君のプロジェクトはいっつも炎上してるんだよ
0935774ワット発電中さん垢版2018/10/20(土) 12:52:26.94ID:EI+KYf1f
>>934
え、会社でやってる仕事についてここで聞いてるとでも思ってるの?
もしそんなバカがいるなら大問題だなw


電子工作なんだからそいつの自己満が
満たせるように言えばいいだけじゃねえか

提示したのは一例でどうしたいのかは
追加で質問者に聞く以外にねえだろ
助言をするにしたって比較対象がなきゃ
助言のしようがねえだろうにそれさえ否定するとか
あんたどんな仕事してるの?

そもそも全部言えるならこんなスレで聞かずに自分で選定出来るっつーの
0936774ワット発電中さん垢版2018/10/20(土) 13:03:16.52ID:0efBRFqW
>>935
思い込みで突っ走る君の特性について述べてるんだよ

都合が悪くなると物事を矮小化したり、拡大解釈して、
は?何言ってんの?って開き直るのはどうかと思うぞ
0937774ワット発電中さん垢版2018/10/20(土) 15:05:22.53ID:9BrshCxF
>>929
立川 の アルチザ 面接受けたことあるが、おまえ社会人? ってのが出てくる。嫌だ怖い
0938774ワット発電中さん垢版2018/10/20(土) 15:07:27.02ID:9BrshCxF
重箱隅突っついて、叩くの君ら社内でもやってそう

大丈夫?部下とか辞めたりしてね?
0939774ワット発電中さん垢版2018/10/20(土) 15:11:02.59ID:9BrshCxF
100MHzでSPIだせるマイコンってなんだろう

ムダなこと考えてオモシロイね
0940774ワット発電中さん垢版2018/10/20(土) 15:28:28.33ID:EslZCjY0
SD/SDIO インターフェイスがあるようなマイコンは、50MHz は普通にいけると思うけどな。
ESP32 はたぶん 80MHz 。SPI だけじゃなく Quad SPI(QPI), Octal SPI もいけるようだ。他STM32 系とかもあるね。
マイコンと言えるかどうか知らないが、DRAM 内蔵 SoC - Allwinner V3s とかも。
0941774ワット発電中さん垢版2018/10/20(土) 15:39:21.61ID:9BrshCxF
まぁ MAX10で 最大動作周波数 か 論理容量満たせなかったら、ここの人らが代替案 だせるから
もう結論でてそうだけど
0942774ワット発電中さん垢版2018/10/20(土) 15:47:02.73ID:9BrshCxF
>>940
普段、CPU設計メインで
たまにFPGA
何か既存回路の置き換え
で彼がヘルプをよこしてきたとだと私はみてる。

じゃあその線で、適切なFPGAを彼に提案すればよかろー

ガンバってファイト(^-^)
0943774ワット発電中さん垢版2018/10/20(土) 20:17:42.08ID:7dlFqsHD
>>936
ブーメランですが大丈夫ですか?
こっちに全く来てないどころか思いっきり頭に刺さってるのですが…
0946774ワット発電中さん垢版2018/10/21(日) 16:55:02.94ID:DaaPtBhQ
プギャー
0951774ワット発電中さん垢版2018/10/23(火) 07:11:41.75ID:BbaRCYq6
やわらかピンがグネグネと触手の様に神経細胞に刺さるって事でつね。わかりまつ。
0959774ワット発電中さん垢版2018/10/23(火) 23:10:57.59ID:er7XKfIJ
MAX10にリモートでマイコン経由で書き込みたいんだけど
PCのシリアルから書くのは見つけたけど
ソースがないみたい
0964774ワット発電中さん垢版2018/10/25(木) 01:36:50.86ID:enMw5MLj
>>960
「小学生からやり直せ」とか言う人いるけど、やり直せるならやり直したいよな
0965774ワット発電中さん垢版2018/10/25(木) 07:17:56.05ID:hSH+W1sG
FPGAに脳の処理をオフロードしたい
簡単な計算すらパッと出てこなくなった
0966774ワット発電中さん垢版2018/10/25(木) 17:36:27.72ID:DUX/HHz7
>>964
>「小学生からやり直せ」とか言う人

うちの職場だと、「この程度の仕事はサルでも出来る」と罵られるけど、
それじゃあ、そんな仕事できるサル連れて来い!ってんだ
0969774ワット発電中さん垢版2018/10/25(木) 22:29:11.73ID:Wy/psNN1
>>964
あのとき声をかけられていたら何かが変わっていたかもしれない、とか。

やり直せるものならやり直したいことはいくらでもありますね。
0970774ワット発電中さん垢版2018/10/26(金) 08:01:34.91ID:Jylhc379
>>969
やり直しても上手くいく保証ないし。
反省するのは有意義だが、悩んでも仕方の無い事でうだうだするのは、時間の無駄でしか無い。
0971774ワット発電中さん垢版2018/10/26(金) 08:34:19.86ID:PW1EZZ59
逆にすっげえ切り替えが早くて、同じような失敗を何度繰り返してもケロッとして
「済んだことはしかたがない」って感じの人も困りますね。

前を見て迷いなく進めることと、いつまでもうだうだ考えることは脳の中では同居できることだし。
0977774ワット発電中さん垢版2018/10/27(土) 10:19:51.30ID:Qt7MflQO
FPGA出来るっつてもいろいろな要素が
1.デバイス周辺回路設計
2.HDL
3.シミュレーション
4.アルゴリズム
5.組み込みCPU
他に何かあるかな?
0981774ワット発電中さん垢版2018/10/28(日) 09:56:55.42ID:PztL1LGn
Yahooのヘッドラインのタイトルで
「インテル 最年少26歳の新会長」
一瞬びっくりした…
0983774ワット発電中さん垢版2018/10/28(日) 13:40:51.99ID:bkda97qy
>>982
うちくる?
ドナドナだけど
0984774ワット発電中さん垢版2018/10/28(日) 22:44:29.50ID:TLeAvotz
>>983
派遣?ソニーLSIへのドナドナなら年収800万円それ以外なら年収600万円位なら考えてやってもいい。
今年収440万円程度の低収入だからな
0985983垢版2018/10/29(月) 00:05:51.86ID:p65seUV3
>>984
派遣じゃなくて、常駐請負ね。
お客さんがVerilogできる人いなくてこまってるのよ。
0986774ワット発電中さん垢版2018/10/29(月) 06:19:19.19ID:3Z6KWebq
時間チャージでどのくらいの金額になる? まあレベルによるとおもうけど。
暫くマイコンばかりやっていてFPGAを離れていたので、FPGAもやりたいね。
 常駐ならアメリカとか英語圏の海外がいいな。
0991774ワット発電中さん垢版2018/10/29(月) 19:20:55.78ID:dfPy4nO/
>>989
ピンハネ率2割ぐらいで月給60万円支給して、仕事なくなっても終身月給60万円支給すんならやってやるわ。おまえには無理だろうが。
0992名無し垢版2018/10/29(月) 23:56:04.76ID:QvkwhSkZ
>>988
勤めてた会社は、取引実績のある会社に間に入ってもらってたね。
実績が無いと、購買部門の信用調査とか時間が掛かって間に合わないから。そんなだから会社は傾いたが
0995774ワット発電中さん垢版2018/10/30(火) 10:12:57.79ID:bA4H/kes
無駄な商社が入って伝言ゲームと伝票の遅延と無駄なマージン
無駄無駄無駄!
ってのは大手になるほどありがち
10011001垢版Over 1000Thread
このスレッドは1000を超えました。
新しいスレッドを立ててください。
life time: 268日 20時間 45分 26秒
10021002垢版Over 1000Thread
5ちゃんねるの運営はプレミアム会員の皆さまに支えられています。
運営にご協力お願いいたします。


───────────────────
《プレミアム会員の主な特典》
★ 5ちゃんねる専用ブラウザからの広告除去
★ 5ちゃんねるの過去ログを取得
★ 書き込み規制の緩和
───────────────────

会員登録には個人情報は一切必要ありません。
月300円から匿名でご購入いただけます。

▼ プレミアム会員登録はこちら ▼
https://premium.5ch.net/

▼ 浪人ログインはこちら ▼
https://login.5ch.net/login.php
レス数が1000を超えています。これ以上書き込みはできません。

ニューススポーツなんでも実況